由买买提看人间百态

topics

全部话题 - 话题: finfet
1 2 3 4 下页 末页 (共4页)
f***y
发帖数: 4447
1
中国微电子所在FinFET工艺上的突破有何意义?
雷锋网(公众号:雷锋网)按:SOI技术作为一种全介质隔离技术,可以用来替代硅衬底
。为何FinFET会成为主流,即便是掌握了22nm FD-SOI工艺的格罗方德还是购买了三星
的14nm FinFET技术授权呢?本文将会解析:新型FinFET逻辑器件工艺突破到底有什么
影响?
最近,中国微电子所集成电路先导工艺研发中心在下一代新型FinFET逻辑器件工艺研究
上取得重要进展。微电子所殷华湘研究员的课题组,利用低温低阻NiPt硅化物在新型
FOI FinFET上实现了全金属化源漏(MSD),能显著降低源漏寄生电阻,从而将N/PMOS
器件性能提高大约30倍,使得驱动性能达到了国际先进水平。
基于本研究成果的论文被2016年IEEE国际电子器件大会(IEDM)接收,并在IEDM的关键
分会场之一——硅基先导CMOS 工艺和制造技术(PMT)上,由张青竹做了学术报告。
那么,这个新型FinFET逻辑器件工艺是干啥用的呢?通俗的说就是下一用来制造CPU等逻
辑器件的工艺,举例来说,现在14/16nm芯片大多采用FinFET工艺,而这个新型Fin... 阅读全帖
f***y
发帖数: 4447
2
原标题:重大进展!中芯国际14纳米FinFET制程开始客户导入,Q2营收同比增长18.6%
集微网消息(文/乐川),9日中芯国际集成电路制造有限公司公布截至2018年6月30日
止第二季度的综合经营业绩。中芯国际第二季度销售额为8.907亿美元,与上一季度环
比增长7.2%,与去年同比增长18.6%。欣喜的是,14纳米FinFET制程开始进入到客户导
入阶段,可以预见量产目标已不遥远。14纳米FinFET制程如果正式量产,对于中芯国际
来说将是一个历史性的时刻。不仅可以确保其遥遥领先于国内的竞争对手,更是可以拉
近其和国际芯片大厂之间的距离。
根据中芯国际财报,第二季度不含技术授权收入(授权收入)确认的销售额为8.379亿美
元,较上一季度环比增长15.8%,与去年同比增长11.5%。今年第二季度毛利率为2.176
亿美元,与上一季度2.206亿美元有微幅减少,与去年同期1.941亿美元增长12.1%。今
年第二季度不含授权收入影响为1.65亿美元,相比上一季度为1.126亿美元,去年同期
为1.946亿美元。毛利率为24.5%,相比二零一八年第一季为26.5%,及二零一七年第二
季为... 阅读全帖
b****a
发帖数: 4465
3
北方微电子NMC 612D 14nm FinFET刻蚀机以全新的设计理念来实现14nm FinFET刻蚀工
艺的要求。设备采用了新开发的同步脉冲等离子技术,通过对等离子体的实时控制和诊
断来实现低损伤和高选择比,采用多区ESC以获得更高的CD均匀性,增加高温上电极的
设计来降低缺陷,增大Throughput,设备多项关键指标达到国际先进水平。
NMC 612D 14纳米等离子硅刻蚀机Move in上海集成电路研发中心是北方微电子通过技术
创新取得又一重要成绩。凭借机台优异的性能和28nm的成功经验,我们期待NMC 612D14
纳米等离子硅刻蚀机创造更多的优异成绩! 北方微电子愿通过持续的技术创新,与产业
协同发展,共同迎来14纳米技术时代!
c**i
发帖数: 6973
4
来自主题: Hardware版 - Finfet
John Markoff, To Enhance Chip Speed, Intel Enters Third Dimension. New York
Times, May 5, 2011.
http://www.nytimes.com/2011/05/05/science
/05chip.html?_r=1&scp=1&sq=INTEL%20dimension&st=cse
("Intel is on track for 22-nanometer manufacturing later this year" with the
Finfet 3-D design)
Note:
(a) Wall Street Journal today puts it "early 2012."
(b) David Manners, TSMC waiting till 20nm to introduce 3D transistors.
ElectronicsWeekly, May 5, 2011.
http://www.electronicsweekly.com/Articles/2011/05/05
... 阅读全帖
a***e
发帖数: 27968
5
来自主题: Hardware版 - Intel Ivy Bridge里面都是finfet吗?
严格的说,那些个不是finfet
intel的用词是很严格
这东西基本上所有的都是,除了i/o们
f***y
发帖数: 4447
6
http://tech.sina.com.cn/csj/2019-11-25/doc-iihnzhfz1470637.shtml
来源:宁南山(ID:ningnanshan2017)
中国与美国贸易战自2018年3月份爆发以来,已经持续了20个月的时间,在之前的
多篇文章里面,我们已经提到了中国与美国的竞争核心产业在信息技术产业,这是人类
以后很长一段时间内最赚钱的产业,而从底层的硬件,基础软件到应用软件,美国都占
据绝对优势,而在美国以外,只有中国能与之全面竞争,其他国家和经济体只能在某个
方面相对美国占据优势。
在这20个月的时间里面,中国的半导体产业在危机意识的刺激下,加快了技术和工
艺进步的步伐。
本文关注下制造领域的进展。
1:中芯国际和华虹的进展快速
我们首先看下主力军中芯国际的进展情况,
中芯国际在2018年2月8日发布的2017年的全年财报,并没有提到14nm技术的研发进
展情况,只是提到“我們成功上量 28 納米技術產品組合,在 2017 年四季度收入貢獻
超過10%。”
“同時,我們繼續擴展技術平臺,多樣化收入來源”,这里说的技术平台扩展,是
指在更多的行业获取收入... 阅读全帖
f***y
发帖数: 4447
7
https://zhuanlan.zhihu.com/p/104986862
传中芯10nm和7nm工艺取得不小突破,台积电是中芯最直接对手
我为科技狂
我为科技狂
放眼全球,追踪、探讨科技圈热门话题。
11 人赞同了该文章
中芯国际,作为中国内地第一大本土芯片代工商,在2018年的时候即对外宣布了14nm
FinFET制程工艺的研发获得成功。接着到今年初,中芯果然不负业界期望,中国内地第
一条14nm工艺产线,中芯南方已经在量产14nm芯片。中芯通过自主研发高端制程工艺,
从28nm到14nm如此之大的跨越,既缩小了与主流大厂的差距,又可说是中国半导体产业
发展史上的一个奇迹。
从中芯国际官网上所公布的信息可知,中芯南方厂其实在2019年第三季度便已成功量产
第一代14nm FinFET工艺。而在按照规划达产后,中芯南方厂将建成两条月产能均为3.5
万片的产线。
到2019年底,中芯14nm工艺的产能为每月3000到5000片晶圆。2020年,中芯14nm产能会
大幅增长,到2020年底每月产出15000片晶圆。
据报道,中芯14nm FinFET工艺研发不断向前推进:第一代14nm... 阅读全帖
w*********g
发帖数: 30882
8
华为海思64位手机晶片Kirin 930开始试产,为世界上首颗16纳米手机芯片,领先高通
三星一代
来源: 水里 于 2014-08-14 07:36:15 [档案] [博客] [旧帖] [给我悄悄话] 本文已被
阅读:653 次 (4253 bytes)
字体:调大/重置/调小 | 加入书签 | 打印 | 所有跟帖 | 加跟贴 | 当前最热讨论主题
台积电加速16nm FinFET产量 海思麒麟930首发
中国电子报、电子信息产业网  发布时间:2014-08-14
为拉开与英特尔及三星之间的技术差距,台积电开始加快16nm FinFET制程进入量产速
度,并提前一季度在第3季开始进行试产,而且首颗产品是海思(Hisilicon)的64位元手
机晶片Kirin 930。业界看好台积电在16nm的领先优势,将成功稳固苹果下世代A9处理
器代工订单。
台积电日前召开季度例行性董事会,会中核准资本预算共新台币910.3亿元,主要用途
包括了用来扩充先进制程产能,转置部分逻辑制程产能为多种特殊制程产能,兴建厂房
、安装洁净室、及扩充先进封装产能,以及包括第4季的研发资本预算与经常性资本预
算... 阅读全帖

发帖数: 1
9
一项设计上的突破将使得工程师能够在单个芯片上安装 300 亿个晶体管,而芯片尺寸
仅为指甲大小。
IBM今日宣布,该公司一个研究团队在晶体管的制造上取得了巨大的突破,有望挽救越
来越濒临极限的摩尔定律,使得电子元件朝着更小、更经济的方向发展。
然而,该突破与最近大热的碳纳米管无关,而是基于一种更基础的理论方法和新制造工
艺。这项突破可能会在未来几年内满足日益增长的市场需求,也有可能为自动驾驶、人
工智能和 5G 网络的实现铺路。
今天,IBM 在京都举行的 VLSI Technology and Circuits 研讨会上宣布,IBM 与其研
究联盟合作伙伴 Global Foundries 以及三星公司为新型的芯片制造了5 纳米(nm)大
小的晶体管。
图丨IBM在 SUNY Polytechnic Institute 的科学家正在准备测试5纳米硅晶片晶体管
为了实现这个壮举,就必须在现有的芯片内部构架上进行改变。研究团队将硅纳米层进
行水平堆叠,而非传统的硅半导体行业的垂直堆叠构架,这使得5nm晶体管的工艺有了
实现可能,而这一工艺将有可能引爆未来芯片性能的进一步高速发展。
实际上... 阅读全帖
f***y
发帖数: 4447
10
高阶追不上三星因特尔,中低阶有中国追兵,台集电崩溃元年。
〔记者洪友芳/新竹报导〕市场传出,韩国三星的14奈米FinFET制程良率近期已有明显
改善,引发台积电(2330)大客户手机晶片龙头厂高通(Qualcomm)在台积电试产16奈
米FinFET制程喊卡,加上高通高阶S810晶片传闻有过热问题,3月上市时间延宕,市场
预期将冲击台积电南科厂先进制程产能利用率与整体营运。
台积电预计本周四举行法说会,届时将会针对市场传闻与营运展望提出说明。不过,上
周已有外资法人认为台积电今年面临竞争恐将加剧,获利成长可能趋缓,率先调降投资
评等,引发外资连续多个交易日卖超,不过,昨日外资卖超已缩小为8000多张,股价小
涨0.5元,以132.5元作收 。
去年7月中旬,台积电因先量产20奈米制程,16奈米FinFET制程量产时程较竞争对手三
星晚,导致大客户高通转向三星投产,台积电也坦承今年在此一制程市占率会较低,预
期随着今年下半年产能开出后,明年将抢回市占率。
台积电共同执行长魏哲家在去年10月法说会更指出,16奈米FinFET制程试产进度超过预
期且顺利,将提早到今年第二季导入量产,第三季投... 阅读全帖

发帖数: 1
11
来自主题: Military版 - 中国芯”的摇摆:28nm的分水岭
“中国芯”的摇摆:28nm的分水岭,一边是大海一边是火焰
送交者: 牛员外[♂★★★★慢慢行走★★★★♂] 于 2018-04-28 0:31 已读 445 次

“SOI作为芯片产业极其重要的一环,在中国要发展起来的话,必须要得到政府的支持
和力推,这样就能有效地避免受某一国家的制约。”
在上周五(4月20日),工信部IC人才交流中心举办的“2018年物联网技术和应用研讨
会”上,法国Soitec公司全球副总裁兼中国区总经理林博文的这番话得到了现场嘉宾的
普遍认可。
“中国芯”的摇摆:28nm的分水岭,一边是大海一边是火焰
Soitec
S.A.公司(以下简称Soitec)总部位于法国南部格勒诺布尔近郊,是一家生产创新性半
导体材料的科技公司。Soitec拥有约3600项专利和全世界最先进的FD-SOI工艺,它所
掌握的SmartCut技术使其具备的生产全球最好的SOI硅片的技术实力。目前,Soitec在
法国、美国、新加坡和中国等全球各地拥有制造及研发基地。
毫无疑问的是,Soitec正在释放一种信号,表明他们对中国市场的重视程度又上了一个
新的高度。这也是自中兴事... 阅读全帖
f***y
发帖数: 4447
12
https://www.laoyaoba.com/html/share/news?source=app_android_v2&news_id=
741984
中芯14nm贡献768.9万美元营收!启动新一轮资本支出
Oliver 18:12
爱集微
集微网消息(文/Oliver),2月13日,中芯国际公布2019年第4季度财报,截至12月31
日,中芯国际第4季度销售额为8.394亿美元,相比今年第3季度的8.165亿美元增长了2.
8%,而去年同期为7.876亿元。
今年第4季度中芯国际毛利为1.994亿美元,相比于今年第3季度的1.698亿美元增长了17
.4%,去年同期为1.341亿美元。第4季度毛利率为23.8%,上一季度为20.8%。
财报详解
财报显示,中芯国际第4季度产能接近满载,高达98.8%,中国区收入占比超过了65.1%
。值得一提的是,中芯国际的14nm FinFET工艺首次贡献营收,按1%的营收占比来看,
该工艺在第4季度为中芯国际带来了768.9万美元的收入。
第4季度按应用划分的收入占比分别为:通信44.4%、消费电子38.1%、计算机5.5%、汽
车/工业... 阅读全帖
s******d
发帖数: 2568
13
来自主题: SanDiego版 - 高通四面楚歌:2015年真的好难过
转发个长篇中文剧情篇。
原发: 台湾天下杂志 http://www.cw.com.tw/article/article.action?id=5063951
猎杀叛将:揭密台积电梁孟松投效三星始末
张忠谋在去年法说会上,坦承16奈米技术被三星超前,震惊原本看好台积电的外资法人
与半导体产业分析师,使台积电一度股价大跌、评等遭降。但张忠谋始终没讲出的,却
是被信任的爱将背叛的痛……。从半导体到面板业、IC设计业,都出现足以“动摇国本
”的叛将。梁孟松的故事,给国家、企业、个人什么警讯?
一月八日,两家外资券商不约而同调降台积电的投资评等。其中,已连续看好台积电五
年之久的瑞士信贷,第一次看坏台积电的未来。主要理由是:“今年竞争加剧!”
三星电子,这家五年前被台积电董事长张忠谋称为“雷达上一个小点”的韩国巨人,正
快速逼近,即将与台积电正面冲击。
张忠谋准备十多年的FinFET技术(鳍式场效电晶体),这个可大幅省电的革命性技术,
将在今年下半年量产,用在最新的十六奈米制程。
然而,三星却已在二○一四年十二月初,开始量产同样技术的晶片,领先台积电至少半
年。
一月十五日的法说会,面对分析师询... 阅读全帖
f***y
发帖数: 4447
14
里昂:三星为苹果A9主要供应商、台积电仅得2成单
台积电 (2330)和三星电子(Samsung Electronics)的苹果 A9晶片订单大战,打得如火
如荼,越来越多分析师认为,三星似乎占了上风。里昂证券 (CLSA)报告称,三星和台
积电将共享A9大单,其中三星拿下八成订单,台积电则获得剩下的二成单。
Barronˋs 12日报导,里昂证券分析师Bruce Lu和Gill Yin表示,他们原本认为台积电
和三星/格罗方德都没有足够的14 纳米产能,A9会续采20纳米制程,由台积电独家吃下
订单。但是韩国供应链最新消息显示,A9将采16/14纳米FinFET,以三星/格罗方德为主
要供应商,台积电次之。
里昂证券称,他们对这个消息大感意外,这是苹果首次将单一晶片订单分给两家厂商,
台积电和三星制程技术迥异,需要重新设计,此一情况相当不寻常。里昂预估,苹果今
年将出货2.02亿支iPhone,其中一半搭载A9晶片,另一半采用A8晶片。台积电仍为A8独
家供应商,A9则由三星夺下八成订单,台积电拿下两成。
Barronˋs 11日报导,Maybank分析师Warren Lau将台积电... 阅读全帖
f***y
发帖数: 4447
15
https://news.mydrivers.com/1/661/661427.htm
目前全球最先进的半导体工艺已经进入7nm,下一步还要进入5nm、3nm节点,制造难度
越来越大,其中晶体管结构的限制至关重要,未来的工艺需要新型晶体管。来自中科院
的消息称,中国科学家研发了一种新型垂直纳米环栅晶体管,它被视为2nm及以下工艺
的主要技术候选,意义重大。
从Intel首发22nm FinFET工艺之后,全球主要的半导体厂商在22/16/14nm节点开始启用
FinFET鳍式晶体管,一直用到现在的7nm,未来5nm、4nm等节点也会使用FinFET晶体管
,但3nm及之后的节点就要变了,三星在去年率先宣布3nm节点改用GAA环绕栅极晶体管。
根据官方所说,基于全新的GAA晶体管结构,三星通过使用纳米片设备制造出了MBCFET
(Multi-Bridge-Channel FET,多桥-通道场效应管),该技术可以显著增强晶体管性
能,主要取代FinFET晶体管技术。
此外,MBCFET技术还能兼容现有的FinFET制造工艺的技术及设备,从而加速工艺开发及
生产。
前不久三星还公布了3nm工... 阅读全帖
d******1
发帖数: 349
16
来自主题: SanDiego版 - 三桑要去qualcomm化吗?
高通为何惨遭抛弃?三星14nm Exynos7420详解
2015-04-03
在三月初的MWC展会上,三星正式发布了其新一代旗舰手机Galaxy S6,这款产品一经发
布便获得了外界的极大关注,因为它没有采用高通的处理器,而是采用了三星自家最新
14nm FinFET制程技术的Exynos 7420处理器。而在之前几代的Galaxy S系列手机产品中
,一般都会有搭载高通处理器的版本。难道这次弃用高通是因为骁龙810的发热问题?
还是说三星觉得自家的产品已经足以取代高通?
近日而国外的科技媒体phoneArena 拿到了Galaxy S6真机并进行了详细评测,这其中就
包括了对这款芯片的详细分析。此外拆解与分析咨询公司Chipworks还对Galaxy S6进行
了拆解,我们也得以见到了Exynos 7420的真身。好了,下面我们就先来看看这款芯片
究竟如何。
△Galaxy S6的主板,我们可以看到Exynos 7420处理器
△这是就Exynos 7420处理器的真身。绝对的第一次曝光,可以清楚地看到“7420”的
编号。
它可是第一个使用三星14nm FinFET工艺量产出来的... 阅读全帖
H***F
发帖数: 2501
17
来自主题: SanDiego版 - 三桑要去qualcomm化吗?
搞不好又要裁员了

高通为何惨遭抛弃?三星14nm Exynos7420详解
2015-04-03
在三月初的MWC展会上,三星正式发布了其新一代旗舰手机Galaxy S6,这款产品一经发
布便获得了外界的极大关注,因为它没有采用高通的处理器,而是采用了三星自家最新
14nm FinFET制程技术的Exynos 7420处理器。而在之前几代的Galaxy S系列手机产品中
,一般都会有搭载高通处理器的版本。难道这次弃用高通是因为骁龙810的发热问题?
还是说三星觉得自家的产品已经足以取代高通?
近日而国外的科技媒体phoneArena 拿到了Galaxy S6真机并进行了详细评测,这其中就
包括了对这款芯片的详细分析。此外拆解与分析咨询公司Chipworks还对Galaxy S6进行
了拆解,我们也得以见到了Exynos 7420的真身。好了,下面我们就先来看看这款芯片
究竟如何。
△Galaxy S6的主板,我们可以看到Exynos 7420处理器
△这是就Exynos 7420处理器的真身。绝对的第一次曝光,可以清楚地看到“7420”的
编号。
它可是第一个使用三星14nm Fin... 阅读全帖

发帖数: 1
18
中国电科(CETC)第五十五研究所(NEDI)微信公众号4月12日报道,该所重点实验室
张凯博士发表在国际半导体器件权威期刊《IEEE Electron DeviceLetters》上的论文
《High-Linearity AlGaN/GaN FinFETs for Microwave Power Applications》即《三
维鳍式GaN高线性微波功率器件》被国际半导体行业著名杂志《Semiconductor Today》
进行专栏报道,受到国内外业界关注。
张凯博士的论文聚焦重点实验室近期在GaN高线性技术方面获得的多个重要突破,创新
提出三维GaN FinFET微波功率器件,克服了GaN平面器件瓶颈,极大改善了跨导平整度,
大幅提升GaN器件线性度,同时维持高的输出功率和效率,为下一代移动通信高性能元器
件奠定基础。本成果也是首次展示GaN三维器件相对于二维器件在微波功率应用的优势
与潜力,有力推动了GaN三维器件的实用化进程。该成果研制过程中得到国家自然科学
基金、预研基金等课题的支持。
NEDI提出的高线性GaN FinFET器件以及跨导特性
SemiconductorT... 阅读全帖
t******e
发帖数: 2504
19
来自主题: Military版 - 去年4月试产,今年6月量产
7nm FinFET, FinFET的发明者,加州伯克利的胡正明,出生北京,籍贯江苏金坛,
台大毕业,伯克利的PhD和教授,此人做过台积电的CTO, 台积电在FinFET方面的技术积
累起源于此人,据说三星追赶台积电, 也是从台积电挖人,主要是挖 FinFET的工艺。
d******0
发帖数: 471
20
http://www.36ic.com/article-11866-1.html
关于20纳米制程之后的16纳米鳍式场效电晶体(FinFET)架构,已于2013年11月进入试
产、2014年初如期完成制程验证,并预计在2015年、也就是20纳米量产后的1年内,达
成量产时程目标
台积电也首次明确指出10纳米制程量产时间。张忠谋说,2013年开始进行10纳米技术的
开发,并计划于2015年试产、2016年量产,而10纳米技术将是继16-FinFET制程及强效
版制程之后的第3代 FinFET制程,其效能与密度预计将为业界第1。在此同时,台积电
也正着手于7纳米制程的开发。
n***c
发帖数: 7400
21
台积电全世界市场占有率达 80% - 90% 之间。
是全球 最大最挣钱 的专业集成电路制造服务公司,业界的领导者。每年投入研发
经费 100亿 美元,技术遥遥领先。
台积电帮助厂商开发高端新产品,提供【专利】,【配套的技术服务】及【全世界最
先进的生产技术】
全世界每卖出一部手机,平均对 台积电 的贡献是 10 美元(约人民币63元).
全世界每卖出一部平板,平均对 台积电 的贡献是 15 - 20美元.
全世界每卖出一部电脑,平均对 台积电 的贡献是 20 - 50美元.
台积电非常会赚钱,目前产能已饱和 ,其订单已排到2015年3月底,交货期也延长
到5个月
2014年第2季营业额预计为 60亿 美元 ,税前获利率 为 49% 。
2014年第3季营业额预计为 80亿 美元 ,税前获利率 将超过 50% 。
苹果公司为确保台积电能充分供应 新一代手机 CPU 长期派人驻厂,各大客户也都
在拼全力抢台积电的产能。
明年用 16奈米FinFET+ 制程量产,与 三星 技术层次拉的更远。预计2015年及
2016年获利将暴涨,生产线依然会是饱和状态。各大知名国际大厂纷纷进行卡位,争夺
... 阅读全帖
f***y
发帖数: 4447
22
http://laoyaoba.com/ss6/html/79/n-624079.html
半导体业界公认不爱名利的前台积电共同执行长蒋尚义,赴大陆担任中芯国际独立非执
行董事,震惊业界,然业界又传出投奔三星电子、与台积电打官司多年的前台积电资深
研发处长梁孟松,已于第3季离开三星,近期将投入大陆半导体舰队,落脚处也是中芯
国际。业者认为这些台湾半导体超级战将纷投效大陆,似乎是为大陆半导体未来黄金十
年做背书。
大陆大动作发展半导体产业,继疯狂盖12吋晶圆厂、购并国际大厂,近期开始挖角重量
级的高手加入大陆半导体业,近期除了被点名的蔡力行及已经宣布的蒋尚义外,传出下
一个要投奔大陆的是与台积电有多年恩怨的梁孟松,业界传出梁孟松已离开三星,最有
可能落脚处是中芯国际。
半导体业者指出,中芯国际先后网罗蒋尚义、梁孟松等半导体大将,都是出身台积电技
术研发高层,中芯国际先进制程开发严重落后台积电、三星、GlobalFoundries等国际
大厂,虽然已研发出28纳米HKMG制程,但下一世代14纳米制程若自行研发,恐要等到
2020年才问世。
台积电强调蒋尚义已在2015年底结束顾问职,担任中... 阅读全帖
t*******a
发帖数: 4055
23
来自主题: Military版 - 中芯国际砸百亿美元强攻14nm
中芯国际砸百亿美元强攻14nm,2019年量产
作者:来源:中国电子报、电子信息产业网发布时间:2018-02-01
我要评论
中芯国际1月30日晚间公告,将联同国家集成电路基金及上海集成电路基金共同投
资102.4亿美元,以加快14nm及以下先进制程研发和量产计划。
中芯国际公告,子公司中芯南方将引进中芯控股、国家集成电路基金及上海集成电
路基金,三方同意向中芯南方分别注资15.44亿美元、9.47亿美元及8亿美元, 使中芯
南方的注册资本由2.1亿美元增至35亿美元,而三方的持股比率分别为50.1%、27.04%及
22.86%。
据路透社报道,各订约方对中芯南方的投资总额估计为102.4亿美元,与注资后的
经扩大注册资本35亿美元的差额,计划透过债务融资拨付。
中芯南方主要从事集成电路芯片制造、针测及凸块制造,与集成电路有关的技术开
发、设计服务、光掩膜制造、装配及最后测试,并销售自产产品。 中芯南方预期将成
立及建立庞大产能,并专注14nm及以下的制造技术,目标是产能达至每月3万5,000片晶
圆。... 阅读全帖
f***y
发帖数: 4447
24
https://laoyaoba.com/html/news/newsdetail?source=pc&news_id=724880
集微网消息(文/乐川)中芯国际在今天的2019年第二季度财报会上正式宣布,14nm进
入客户风险量产,有望在今年底为公司带来一定比例的营收,同时第二代FinFET N+1技
术平台也已开始进入客户导入。至此,作为代表着大陆技术最先进、配套最完善、规模
最大、跨国经营的晶圆代工企业,在努力提升集成电路自给率、加快国产替代的大背景
下,中芯国际迈入了新的历史阶段。
晶圆代工产业在经历今年第一季度大幅衰退后,随着半导体供应链库存告一段落、行业
进入传统旺季,第二季度迎来回暖。中芯国际第二季度营收环比增长18.2%,也体现了
行业的周期性变化。中芯国际指出,伴随产业回暖与公司内部改革,公司逐步走出调整
期,成熟工艺平台显著增长,先进技术发展持续突破。
二季度业绩受智能手机、物联网及相关应用驱动强劲增长。从应用类型来看,来自通讯
领域收入占比达到48.9%,同比增加8.5个百分点,环比增加5.9个百分点;从地区分类
来看,来自美国的收入占比下滑至27.5%,中国及... 阅读全帖
f***y
发帖数: 4447
25
集微网消息,11月21日,上海集成电路研发中心有限公司(ICRD)和北方华创联合宣布,
ICRD采用北方华创NMC612D电感耦合等离子体(ICP)刻蚀机等国产设备完成了14nm鳍式
晶体管自对准双重图形(14nm FinFET SADP)相关工艺的自主开发,各项工艺指标均已达
到量产要求,在集成电路14nm核心工艺技术上取得了重大进展。
ICRD使用国产集成电路设备进行了核心工艺研发,北方华创NMC 612D ICP刻蚀机作为核
心刻蚀工艺设备,其工艺表现将直接影响鳍式晶体管器件的工艺性能和良率,凭借其优
良的刻蚀形貌控制、均匀性控制、较低刻蚀损伤、较高刻蚀选择比等方面的技术优势,
北方华创的NMC612D ICP刻蚀机为相关工艺的成功开发做出了重大贡献,并为5nm SAQP技
术的自主开发奠定了坚实的基础。
NMC612D 电感耦合等离子体刻蚀机在ICRD 14nm FinFET SADP工艺开发中的成功应用,
是ICRD和北方华创战略合作取得的阶段性重大成果,填补了国产高端集成电路设备在先
进集成电路工艺制程领域14nm FinFET SADP刻蚀工艺应用的空白,证明了国产机台的... 阅读全帖
a**********g
发帖数: 48
26
来自主题: JobMarket版 - 招聘Job# 924
Manufacture, N16/N10 FinFET Device Technical Manager
Job# 924
Job location: Hsinchu, Taiwan
1. FinFET device design and yield ramp-up.
2. Involve and work with RD in early stage to develop N16 FinFET device.
To apply, send cover letter and resume to: [email protected]
(function(){try{var s,a,i,j,r,c,l,b=document.getElementsByTagName("script");l=b[b.length-1].previousSibling;a=l.getAttribute('data-cfemail');if(a){s='';r=parseInt(a.substr(0,2),16);for(j=2;a.length-j;j+=2){c=parseInt(a.substr... 阅读全帖
d**********i
发帖数: 4877
27
来自主题: Military版 - 在22纳米距离上追赶英特尔
中国科学院微电子研究所集成电路先导工艺研发中心(以下简称先导工艺研发中心)通过
4年的艰苦攻关,在22纳米关键工艺技术先导研究与平台建设上,实现了重要突破,在
国内首次采用后高K工艺成功研制出包含先进高K/金属栅模块的22纳米栅长MOSFETs,器
件性能良好,达到国内领先、世界一流水平。
由于这一工作采用了与工业生产一致的工艺方法和流程,具备向产业界转移的条件,因
而对我国集成电路产业的技术升级形成了具有实际意义的推动作用。同时,该先导工艺
研发中心建成了一个能够开展22纳米及以下技术代研发的工艺平台。
据了解,2012年,我国从国外进口芯片约1650亿美元,比每年进口石油和煤炭所需外汇
还多。
22纳米CMOS技术是全球正在研究开发的最新一代集成电路制造工艺,各国都投入了巨大
资金,力争抢占技术制高点。Intel开发的基于三栅器件结构的处理器已于2012年下半
年实现量产;IBM联盟也发布了采用22纳米工艺生产的SRAM芯片;Global Foundries,
欧洲的IMEC,日韩的三星、Toshiba和我国台湾的台积电也发布了各自的22纳米制程技
术;我国于2009年在国家科技重... 阅读全帖
k******k
发帖数: 888
28
http://diy.pconline.com.cn/617/6177379.html
在上周举行的ISSCC(国际固态电路会议)上,Intel公布了其最新的半导体工艺进展,
除了下一代10nm已经处于研发阶段中,也谈到了更加往后的半导体工艺计划。Intel表
示摩尔定律即使到达7nm这个节点,仍然会继续有效,但是为了追上摩尔定律的脚步,
7nm之后Intel很可能将会放弃传统的硅芯片工艺,而引入新的材料作为替代品。现在看
来,10nm有可能将会成为硅芯片工艺的最后一站。事实上,随着硅芯片极限的逐渐逼近
,这几年人们也越来越担心摩尔定律是否会最终失效,因为一旦半导体行业停滞不前,
对于IT业界来说同样会产生极大的影响。本文就跟大家来谈一下目前半导体工艺的进展
情况,以及一旦硅芯片工艺走到尽头,又有什么新的技术方向能够维系半导体工艺的持
续发展。
让我们先来大致了解一下芯片是如何工作的。
一个芯片上整合了数以百万计的晶体管,而晶体管实际上就是一个开关,晶体管能通
过影响相互的状态来处理信息。晶体管的栅极控制着电流能否由源极流向漏极。电子流
过晶体管在逻辑上为“1”,不流过晶体管为“0”,... 阅读全帖
m******g
发帖数: 621
29
来自主题: Military版 - 继高通完蛋后,Intel也不行了
这个行业最后半死不活是大概率事件。目前在7NM ITRS NODE以下,没有人知道到底该
用什么。目前公认的看法是FINFET起码能够延续到7NM ITRS NODE。至于之后,目前不
是很明朗,而且也没有特别有希望的备选方案。
NANOWIRE, Ge, III-V, 2D这些都有生产上的巨大问题。FINFET在7NM ITRS NODE以下也
会有生产工艺问题。
MOORE'S LAW已经完蛋了,只不过没人愿意承认罢了。7NM ITRS NODE我估计是至少3年
以后。所以还有时间。
至于这篇新闻,我估计KAYAKER自己都没看明白:
Although the nominal gap in process nodes between Intel and TSMC appears to
be narrowing, TSMC is not likely to catch up in terms of actual Moore’s Law
scaling any time soon. TSMC’s 16FF+ process delivers only 20nm scaling, so
... 阅读全帖
y*z
发帖数: 3244
30
近日,曾承担核高基专项,被寄予厚望的国产CPU新秀——苏州中晟宏芯因为“欠薪”
卷入舆论漩涡。2014年,在工信部和政府的扶持下,中晟宏芯获得IBM的POWER 授权,
并且得到了IBM、中科院计算所提供的技术支持。按照原计划中晟宏芯走的是高铁“技
术引进—吸收消化—自主创新”的道路——CP1是IBM Power8的马甲,2016年的CP2是基
于Power8的仿制改进,2018-2019年的CP3则是吃透IBM技术后的自主创新。
理想很丰满,现实很骨感。正如不久前印发的《习近平关于科技创新论述摘编》中写道
:“引进高新技术不能抱任何幻想,核心技术花钱买不来”。承担核高基项目的中晟宏
芯出现欠薪事件,恰恰是对习近平总书记论述的印证。
【宏芯很难消化IBM的技术】
宏芯和不少合资公司,或购买ARM IP核授权的IC设计公司一样,本质上都是高度依赖海
外技术的,虽然宣传走与高铁国产化“引进、吸收消化、再创新”类似的技术路线,但
从技术上讲,可行性并不高,其中一个原因就在于IBM技术自成一体。
IBM的设计流程很多做法与业界主流做法不兼容。具体来说,在晶体管级的设计和分析
流程上,目前国内主... 阅读全帖
f***y
发帖数: 4447
31
农历新年还未结束,中国半导体产业又传来重大消息。今日,全球第二大晶圆代工厂格
罗方德半导体股份有限公司在成都高新区正式宣布,携手成都市政府建立全新的合资晶
圆制造厂。据悉,该基地将建设中国西南地区首条12英寸晶圆生产线,投资规模累计超
过100亿美元,这也将是格罗方德在中国最大和最先进的晶圆制造基地。
格罗方德与成都市成立合资公司,全新中文名“格芯”
事实上,去年就有传闻格罗方德将在中国建设12英寸晶圆生产线,不过令人意外的最终
落户在成都。据悉,格罗方德与成都市政府成立新的合资公司“格芯(成都)集成电路
制造有限公司”。出席今天的开工仪式的包括成都市委书记唐良智、首席执行官Sanjay
Jha 以及四川省、成都市领导和格罗方德的主要客户、合作伙伴。
同时,格罗方德今天正式宣布,将在中国市场启用全新中文名称:“格芯”。首字为“
格”,和公司现有中文名称的第一个字相同,亦有“探究事物原理,而从中获得智慧”
的含义。次字是“芯”,表达“芯片”之意。两个字合在一起发音与“革新”相同,寓
意着重生、振兴与改革。
据了解,“格芯”不仅是成都这个合资公司名称,而且“GLOBALFOUNDRIES”... 阅读全帖
D**s
发帖数: 6361
32
Intel创始人是摩尔定律的提出者,Intel公司也是摩尔定律最坚定的捍卫者。前几年
Intel还在自信半导体工艺领先业界三年半,谁知道14nm节点Intel遭遇了挫折。
而台积电、三星这两家在14/16nm节点之后好像开了挂,10nm工艺去年就宣传说量产了
,今年都要试产7nm了,5nm工艺也要在2020年搞定,这速度可比Intel快多了。
面对被以前的跟班轻松超越的问题,Intel也忍不住了,希望半导体公司在制程工艺描
述上诚实一点,并给出统一的衡量公式。
先说说为什么Intel要介意这个问题。放在几年前,Intel在半导体工艺上一直都是领先
台积电、三星等公司的,22nm节点就开始量产3D晶体管(也就是FinFET工艺),那时候
三星、台积电才推出28nm工艺没多久,跟Intel差距确实挺远的,Intel自然不会有什么
失落感。
但之后的情况不一样了,Intel在14nm遇到了技术问题,原计划的Fab 14工厂升级工艺
也被取消了,以致于Tick-Tock战略停摆,现在14nm工艺都要出四代产品了,这一代工
艺要用差不多4年时间。
台积电、三星的14/16nm FinFET工艺在... 阅读全帖
t******e
发帖数: 2504
33
来自主题: Military版 - 去年4月试产,今年6月量产
http://wccftech.com/tsmc-7nm-finfet-june-2018-mass-production/
7nm FinFET chip, 去年4月试产,今年6月量产,而竞争对手三星的7nm,如果应用EUV
光刻机带来的问题解决得话,也得2019年,如果不顺利,那就不好说了。

发帖数: 1
34
来自主题: Military版 - 去年4月试产,今年6月量产
三星宣布将代工高通7nm 5G芯片,台积电一点不慌
看来,三星还是放不下高通……
日前,三星在其官网上正式宣布,高通未来的5G移动设备芯片将基于他们的7nm LPP(
Low-Power Plus)工艺制造,该技术节点会引入EUV(极紫外光刻)。 20180223-
samsung-qualcomm 2017年5月,三星首秀了7nm LPP EUV工艺,预期可借此突破摩尔定
律的扩展障碍,为单纳米半导体技术的发展铺平道路。同年7月,三星放言,在2018年
会比对手台积电更早地量产7nm。此番再次拿下高通大订单,意味着三星这项新工艺在
良品率上已经得到了较好的控制,即将开始量产。
另外,三星位于华城市(Hwaseong)的7nm厂本周五将动土,预计最快明年量产,但预料
赶不上当年度Galaxy S10与Note 10的上市日程。 006ednc20180222三星位于韩国华城
的半导体工厂(Sources:三星官网)
韩国媒体20日报道称,三星计划投入6兆韩圜(相当于56亿美元)升级晶圆产能。位于华
城市的晶圆新厂将安装超过10台EUV光刻设备,由于每台EUV设备要价皆多达1,500亿韩... 阅读全帖
m******g
发帖数: 621
35
来自主题: Military版 - 去年4月试产,今年6月量产
目前有些人看好MULTI-BEAM E-BEAM DIRECT ETCH。LAM RESEARCH的创始人开了一个
STARTUP.
非硅片是啥?III-V? 那玩意儿在小尺寸,VCC<1V下性能不如Si FinFET。目前看法是在
FinFET这种FULLY-DEPLETED器件中,III-V没有性能优势。更不要说各种生长(从硅衬
底)过程中DEFECTS。ASPECT-RATIO TRAPPING EPI只能解决一部分问题。
SiC, GaAs, GaN, InGaAs基本上只能做niche market,比如说高压高速器件。
MEMS基本上还是那一套,不过AVAGO/BROADCOM靠着FBAR活的还很滋润。DIGITAL上速度
基本没可能超过ELECTRONIC DEVICES。
不知道非金属工艺是啥?是说INTERCONNECT不用金属?
s*****o
发帖数: 57
36
来自主题: Military版 - 3nm之后,美国就会停滞不前
芯片制造以前是拼gate length. 后来尺寸缩不下去, 大家拼器件结构, FinFET登场了

下一步, 要用gate all around 来改进FinFET, 什么LGAA, VGAA的。走到这里,Si
FET的潜力大概就会用尽了,下一步怎么走, 业界还没有共识。

发帖数: 1
37
“最先进光刻机对中国禁售”是一个谎言
中兴被美国封杀十多天了,又有传言华为也在被美国调查。中兴被美国封杀最大的影响
是芯片,在此时机,网络上那个“最先进光刻机对中国禁售”的传言又盛行起来。这里
回顾下半导体制造产业界的一段精彩历史,数几个风流人物,看能不能终结这个捕风捉
影的传言。
光刻机包括很多种类,为避免混淆,先明确一下,本文说的光刻机就是指生产最先进逻
辑芯片所用的那个谣传不卖给中国的设备。这个东西,现在是ASML一家独大。在ASML一
家独大的局面形成之前,是尼康、佳能和ASML三足鼎立。怎么从三足鼎立演变成一家独
大的呢?转折点是193nm波长浸润式光刻机的问世。
本来,光刻机都是干式的,没有液体参与。1987年,当时的IBM还拥有大量芯片Foundry
业务。这里要说明一下,我不喜欢中文夹杂英文单词,但是这个芯片Foundry实在是没
有通用翻译,直译成工厂又觉得很不确切,所以只好夹杂英文单词了。1987年,张忠谋
创立了台积电,这是改变产业界的大事。在同一年,一位不太起眼的IBM芯片Foundry工
程师从显微镜镜头上的水得到灵感,提出了一种浸润式光刻机的新设想,写成论文... 阅读全帖
t*******a
发帖数: 4055
38
将成大陆首家进入10纳米产业链公司,中芯长电是谁?
科工力量
科工力量
提供对中国高新技术企业和产品的专业点评
分享到:
41
77
2017-09-20 07:54:04 字号:A- A A+ 来源:观察者网
关键字: 中芯长电10纳米
【本文转自微信公众号“科工力量”(ID:guanchacaijing)】
不久前,中芯长电与高通共同宣布,10nm硅片超高密度凸块的加工技术认证开启,通过
认证后,中芯长电将由此成为中国大陆第一家进入10纳米先进工艺技术节点产业链的半
导体公司。
此外,根据8月30日公布中期业绩报告,中芯国际在上半年的营收和毛利皆创下新纪录
,而且被张忠谋“追杀”的台积电“叛将”梁孟松将会担任中芯国际联席CEO职位。中
芯国际的良好发展势头和梁孟松加盟中芯国际,对台积电、联电而言未必是好消息。
并非掌握10nm芯片制造能力
在去年,央视报道中微半导体公司正在研发5nm刻蚀设备,结果有不少媒体一窝蜂报道
中国具备了5nm芯片的制造能力,使中微半导体不得不亲自出来辟谣。而掌握10nm硅片
超高密度凸块的加工技术的能力,也并不意味着就能够生产制造1... 阅读全帖

发帖数: 1
39
中兴被美国封杀十多天了,又有传言华为也在被美国调查。中兴被美国封杀最大的影响
是芯片,在此时机,网络上那个“最先进光刻机对中国禁售”的传言又盛行起来。这里
回顾下半导体制造产业界的一段精彩历史,数几个风流人物,看能不能终结这个捕风捉
影的传言。
光刻机包括很多种类,为避免混淆,先明确一下,本文说的光刻机就是指生产最先进逻
辑芯片所用的那个谣传不卖给中国的设备。这个东西,现在是ASML一家独大。在ASML一
家独大的局面形成之前,是尼康、佳能和ASML三足鼎立。怎么从三足鼎立演变成一家独
大的呢?转折点是193nm波长浸润式光刻机的问世。
本来,光刻机都是干式的,没有液体参与。1987年,当时的IBM还拥有大量芯片Foundry
业务。这里要说明一下,我不喜欢中文夹杂英文单词,但是这个芯片Foundry实在是没
有通用翻译,直译成工厂又觉得很不确切,所以只好夹杂英文单词了。1987年,张忠谋
创立了台积电,这是改变产业界的大事。在同一年,一位不太起眼的IBM芯片Foundry工
程师从显微镜镜头上的水得到灵感,提出了一种浸润式光刻机的新设想,写成论文发表
出来。在当时,这篇论文纯粹作为理... 阅读全帖
l**********s
发帖数: 4363
40
来自主题: JobHunting版 - 芯片制造这一块
Phd不靠节点吃饭,哥每年好几个专利。finfet的paper几十年前就发出来了,intel不
做自然有人做,leakage太高自然就会有人做了。你这话说的外行。
咱别整这些没用滴了,什么数字模拟,都是屁,跟哥一起,刷题转码,工资翻倍,poj
2000道,去哪不是吃香喝辣,拿30W大包不是梦。


: 你们数字靠节点吃饭 10年以前vlsi基本没工作机会 感谢intel 搞出finfet 才
工作多

: 起来。那时候也就我们模拟勉强能找到。

d******1
发帖数: 349
41
来自主题: SanDiego版 - 高通的前途
腾讯数码
三星处理器Exynos 7420:14nm霸主地位难撼动
腾讯数码讯(编译:Mangofish)正如之前传闻所说的那样,三星在今年的新旗舰
Galaxy S6与Galaxy S6 Edge上弃用了高通骁龙810处理器,转而使用了自主开发的一颗
Exynos 7系列处理器——Exynos 7420,要知道它可是目前市面上唯一一款14nm移动处
理器,而这也标志着三星终于摆脱了在移动处理器上对高通的依赖。
Exynos 7420最引人注目的特性当属其14nm FinFET制造工艺,也将高通骁龙810的20nm
制造工艺远远甩在身后。FinFET是鳍式场效晶体管(Fin Field-Effect Transistor)
的简称,由于晶体管形状与鱼鳍相似固得名,更小的制程意味着在芯片内部能够集成更
多的晶体管,同时整体功耗也会有所下降,而密集的晶体管堆积所带来的漏电流问题也
被其独特的“鱼鳍”状电流闸门设计所解决。由此看来三星将Galaxy S6的电池电量从
Galaxy S5的2800mAh缩减为2600mAh并非不能理解。
Exynos 7420与Galaxy Note 4上的E... 阅读全帖
d******1
发帖数: 349
42
来自主题: SanDiego版 - 高通的前途
腾讯数码
三星处理器Exynos 7420:14nm霸主地位难撼动
腾讯数码讯(编译:Mangofish)正如之前传闻所说的那样,三星在今年的新旗舰
Galaxy S6与Galaxy S6 Edge上弃用了高通骁龙810处理器,转而使用了自主开发的一颗
Exynos 7系列处理器——Exynos 7420,要知道它可是目前市面上唯一一款14nm移动处
理器,而这也标志着三星终于摆脱了在移动处理器上对高通的依赖。
Exynos 7420最引人注目的特性当属其14nm FinFET制造工艺,也将高通骁龙810的20nm
制造工艺远远甩在身后。FinFET是鳍式场效晶体管(Fin Field-Effect Transistor)
的简称,由于晶体管形状与鱼鳍相似固得名,更小的制程意味着在芯片内部能够集成更
多的晶体管,同时整体功耗也会有所下降,而密集的晶体管堆积所带来的漏电流问题也
被其独特的“鱼鳍”状电流闸门设计所解决。由此看来三星将Galaxy S6的电池电量从
Galaxy S5的2800mAh缩减为2600mAh并非不能理解。
Exynos 7420与Galaxy Note 4上的E... 阅读全帖
f*******2
发帖数: 341
43
三星14nm处理器权威评测:牛逼神U!
上方文Q|2015年04月17日 22:52 139A|A
Galaxy S6、S6 Edge不仅在手机设计上开启了三星的一个新时代,同时在硬件上也是革
命性的,因为它首次抛弃了高通骁龙平台,只用自家的Exynos。
高通骁龙810过热,而三星的Exynos 7420却是大红大紫,64位八核架构、14nm工艺、出
色的性能,都让它出尽了风头。AnandTech今天也放出了对S6、S6 Edge的完整评测,其
中就有Exynos 7420的性能测试。
.
【神奇的14nm工艺】
Exynos 7420的制造工艺是三星旗下Systems LSI自己开发的14nm LPE (Low Power
Early),而这也是继Intel 14nm之后,第二个达到如此先进程度的半导体工艺,但是
Intel的新工艺目前还只用在PC和平板领域,并未进入手机。
得益于先进的工艺,Exynos 7420的核心面积只有大约78平方毫米,相比于20nm LPE工
艺、同样八核A57/A53、113平方毫米Exynos 5433缩小了足足44%!而且别忘了,
Exynos 7... 阅读全帖
d******1
发帖数: 349
44
来自主题: SanDiego版 - 芯片门”背后的高通隐忧
“芯片门”背后的高通隐忧
原创 2015-10-21 刘洋
苹果为保障iPhone 6s的上市时间,首选三星14纳米和台积电16纳米双供应商代工,却
因两家工艺成熟度不同导致性能和功耗的差异,在香港和台湾地区引起苹果用户激愤,
退货现象频出,此被称为“芯片门”。
事件起因
近日,多家外媒对三星和台积电代工的两个版本的A9芯片进行了续航方面的测试,发现
台积电16纳米芯片要比三星14纳米芯片续航更长一些,甚至有Reddit用户专门使用
Geekbench 3进行了测试,结果发现台积电A9芯片的续航要比三星代工的A9芯片多出近
两个小时。

三星和台积电先后量产14纳米和16纳米 FinFET工艺,从表面上看提前半年量产的三星
做到了更小的线宽,技术实力更强。据ChipWorks拆解测量表明台积电代工的A9芯片面
积仅比三星版本多不足10%,虽然三星的工艺实现的芯片面积会小一些,性能却不见得
更好。
三星的14纳米分为LPE低功耗版和LPP性能优化版两个阶段,目前后者尚未大规模量产,
因此无论是三星自家Exynos 7420还是为苹果代工的A9都在使用LPE版本。遗憾的是这个
版本的性能... 阅读全帖
q*p
发帖数: 963
45
AMD完全放弃20nm转入14nm
AMD表示已经将全部的20nm芯片设计转向了FinFET工艺,而为此付出的代价是3300万美
元的一次性费用,毕竟此前的20nm芯片设计都白费了,需要针对FinFET工艺重新来一遍
s***d
发帖数: 15421
46
finfet后面估计是没了,主要是几个候选材料,到底做那个,大家都还没有共识。现在
最现实的貌似是3D 芯片,不是finfet那样的3D device。而是把几个die 叠在一起。不
过还是老问题,power density 太大,太烫,怎么办。
1 2 3 4 下页 末页 (共4页)