由买买提看人间百态

boards

本页内容为未名空间相应帖子的节选和存档,一周内的贴子最多显示50字,超过一周显示500字 访问原贴
EE版 - 求建议 ASIC ENGINEER 的面试要准备什么
相关主题
asic verification 面试问点什么呢?恳求工作内推: Electrical and Computer Engineering方向
喜欢ASIC VERIFICATION ENGINEER这个方向EE里和编程有关的应该就是这几个方向吧?
最后一次恳求工作推荐: EE--------Digital Logic Design/VLSI/为什么学硬件这么难找工作呢!!
有没有人觉得做VLSI的EDA就像是给别人打下手的感觉Digital IC 都能找那些公司啊
Verilog vs VHDL请教大家一个方向的问题
Job opening: ASIC design verification engineer现在FPGA/VLSI的就业情况怎么样或以后前景
恳求工作内推: Electrical and Computer Engineering方向请高人指点,怎么可以把wireless和VLSI结合起来呢?
恳求工作内推: Electrical and Computer Engineering方向EE Master 选课方向求建议
相关话题的讨论汇总
话题: asic话题: engineer话题: synthesis话题: techniques话题: common
进入EE版参与讨论
1 (共1页)
p*********8
发帖数: 957
1
找ASIC的POSITION 学校学过VLSI的课 EDA的TOOL用过一些 很久没看了 想问下哪些方
面的基础知识需要看下 请大侠们帮下面 谢谢了 ~~~
T******T
发帖数: 3066
2
俺帮人以前写的,差不多:
1) Verilog/VHDL, synthesizable coding style, common mistakes.
2) Design questions : clk div/N(odd), FSM, Async FIFO, Clock domain
crossing techniques, AMBA architecture, setup/hold timing related, maybe
some DSP questions.
3) Verification methodology, from design spec->requirements, testbench setup
, SystemVerilog/Vera/SystemC, assertion, code coverage, fsm coverage,
formal verif, lint, cdc, unit level sim vs system level sim. Randomization,
BIST techniques for memory, different p
p*********8
发帖数: 957
3
写的很全 好多不懂 哈哈
非常感谢~~~
T******T
发帖数: 3066
4
如果是面比较back-end的位置,别忘了多准备一些synthesis和static timing
analysis 相关
的知识. Ex:
STA: setting up proper constraints, false path/multi-path identification,
clock tree synthesis flows, load balancing etc.
Synthesis: Basic Flow, Common synthesis errors, critical warnings to watch
out for etc.
DFT: At speed testing techniques, MBIST techniques, Logic-BIST vs Inserted
Scan, Common device level failure modes for flops vs Memory.

【在 p*********8 的大作中提到】
: 写的很全 好多不懂 哈哈
: 非常感谢~~~

p*********8
发帖数: 957
5
I want to send you a message, but I cant.......
T******T
发帖数: 3066
6
wassup ? email's open now.

【在 p*********8 的大作中提到】
: I want to send you a message, but I cant.......
p*********8
发帖数: 957
7
hehe it is closed again...
T******T
发帖数: 3066
8
what ? no...hold on, let me see what's going on....
no problem ah, other people mailed me already, wide open.

【在 p*********8 的大作中提到】
: hehe it is closed again...
p*********8
发帖数: 957
9
it doesnt work for me though, hehe, but nothing important...it 's fine
Thanks for your information
1 (共1页)
进入EE版参与讨论
相关主题
EE Master 选课方向求建议Verilog vs VHDL
请教几个VLSI的就业方向Job opening: ASIC design verification engineer
提供内推, EDA AE恳求工作内推: Electrical and Computer Engineering方向
Couple ASIC Openings ( San Jose) (转载)恳求工作内推: Electrical and Computer Engineering方向
asic verification 面试问点什么呢?恳求工作内推: Electrical and Computer Engineering方向
喜欢ASIC VERIFICATION ENGINEER这个方向EE里和编程有关的应该就是这几个方向吧?
最后一次恳求工作推荐: EE--------Digital Logic Design/VLSI/为什么学硬件这么难找工作呢!!
有没有人觉得做VLSI的EDA就像是给别人打下手的感觉Digital IC 都能找那些公司啊
相关话题的讨论汇总
话题: asic话题: engineer话题: synthesis话题: techniques话题: common