由买买提看人间百态

topics

全部话题 - 话题: 光刻机
1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)
f***y
发帖数: 4447
1
来自主题: Military版 - 除了光刻机,其他都能造
除了光刻机,其他都能造。
https://zhuanlan.zhihu.com/p/33375439
半导体生产设备和材料,是半导体产品的最上游。全世界每年销售的生产设备和材料,
加起来总共800多亿美元左右,如果仅仅从规模上来看,其实并不大,就算有个国家占
据了全球50%的生产设备和材料的份额,一年销售额也就是400亿美元多点。这两个领域
主要是技术门槛高,同时是制高点,因此可以起到控制他国集成电路发展速度的作用。
具体来说,根据国际半导体产业协会SEMI的统计,2016年全球半导体设备出货额412亿
美元,全球半导体材料出货额443亿美元。
而据国际半导体产业协会(SEMI) 2018年1月26日公布的数据,2017年全球半导体设备商
出货金额达到560亿美元,比起上一年大幅增长接近40%,创下历史新高。很遗憾的是,
由于全球新建的12英寸晶圆厂在逐渐向中国集中,因此半导体设备采购额增长,很大程
度上来自中国,在这个领域,我们处于受制于人的局面。
我们看下Gartner 2016年的全球十大半导体设备制造商排名,当然里面并没有中国公司
出现,只有三个国家的公司上榜了,美国,日本和荷... 阅读全帖

发帖数: 1
2
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
中科院、上微自主研制成功新型光刻机 有望局部实现国产化替代
2016-03-28 16:50:04字号:A- A A+来源:观察者网
关键字:光刻机国产光刻机中科院上海微电子光刻工艺
日前,中科院光电技术研究所自主研制成功紫外纳米压印光刻机。与此同时,上海微电
子也发布了平板显示光刻机,产品良率高达95%(原本的良率为70%-80%)的高亮度LED
光刻机,MEMS和功率器件光刻机。虽然媒体仅仅轻描淡写报道,但这些消息却蕴含着不
同寻常的意义。
什么是光刻机?光刻机是芯片生产中最核心的设备,也是大陆芯片生产设备制造的最大
短板。不少网友会将光刻机和刻蚀机搞混,其实,光刻机的工作原理是用光将电路结构
临时“复制”到硅片上,而刻蚀机是按光刻机刻出的电路结构,刻出沟槽的设备,是在
芯片上做减法,与之相应的是做加法(镀膜)。
光刻机用途广泛,有用于生产芯片的前道光刻机,有用于封装的后道光刻机,还有用于
LED制造领域的投影光刻机。前道光刻机就是在芯片生产中将电路图映射到硅片上的光
刻机,后道光刻机也被称为封装光刻机、bumping光刻机,在芯片生产出来后,线路图
是裸露在外面的,还需要用后道光... 阅读全帖
f***y
发帖数: 4447
3
http://www.scichi.cn/content.php?id=2339
朱煜:精密事业
作者:本刊记者 方 方 王涵 来源:科学中国人 发布时间:2017-6-16
导读:  蒸汽机作为一个现象级的神器,它的出现打开了人类步入工业文明的大门。
它所提供的源源不断的动力,极大地推动了现代工业的发展。而钢铁作为那个时代的“
砖和瓦”,造就了巍峨的现代工业社会大厦。如今,芯片已经取代钢铁成为信息时代的
基石,提供核心发展驱动力的蒸汽机也被制造芯片的高端装备所取代。
早在2013年,我国的芯片进口总值已超过石油成为第一大商品。芯片的广泛应用对社会
生活的各个方面产生了巨大影响,国民经济、国家安全也都系于小小芯片的方寸之间。
要真正实现经济腾飞、大国崛起,我国必须摆脱在芯片上对外的高度依赖,而拦在通往
芯片自主之路上的最大障碍就是以光刻机为代表的集成电路制造的高端装备。
光刻机,这个被周光召先生誉为新时代“两弹一星”的“神器”,以其极高的精度被业
界看作是微细加工的“珠穆朗玛”,是实现《中国制造2025》计划“新一代信息技术产
业”这一重点领域突破的大国重器。光刻机中最关键的两个子... 阅读全帖
f***y
发帖数: 4447
4
http://www.eepw.com.cn/article/201703/345450.htm
SEMICON China 2017开幕日即3月14日,上海微电子装备(集团)股份有限公司(后简称“
SMEE”)宣布,SMEE 与荷兰公司 ASML 签署战略合作备忘录(MoU),为双方进一步的潜
在合作奠定了基础。
本文引用地址:http://www.eepw.com.cn/article/201703/345450.htm
根据这项合作备忘录,ASML 和 SMEE 将探索就 ASML 光刻系统的特定模块或半导
体行业相关产品进行采购的可能性。此次MoU的签署代表 ASML 继日前与上海集成电路
研发中心(ICRD)宣布合作之后,进一步深入参与中国的IC产业的发展。
光刻机被称为“人类最精密复杂的机器”,制造光刻机更被比作是在微观世界里“
造房子”——成像系统由几十个直径为200~300毫米的透镜组成,定位精度都是纳米级
。微米级的瞬时传输控制技术,犹如两架空客以1000/小时公里同步高速运动,在瞬间
对接穿针引线;玻璃镜面加工等精度,误差不会超过0.5毫米。
为了在更小的物理空间集... 阅读全帖
t*******a
发帖数: 4055
5
SEMICON China 2017开幕日即3月14日,上海微装备(集团)股份有限公司(后简称“
SMEE”)宣布,SMEE 与荷兰公司 签署战略合作备忘录(MoU),为双方进一步的潜在合作
奠定了基础。下面就随手机小编一起来了解一下相关内容吧。
根据这项合作备忘录,ASML 和 SMEE 将探索就 ASML 光刻系统的特定模块或行业
相关产品进行采购的可能性。此次MoU的签署代表 ASML 继日前与上海集成电路研发中
心(ICRD)宣布合作之后,进一步深入参与中国的IC产业的发展。
被称为“人类最精密复杂的机器”,制造光刻机更被比作是在微观世界里“造房子
”——成像系统由几十个直径为200~300毫米的透镜组成,定位精度都是纳米级。微米
级的瞬时传输控制技术,犹如两架空客以1000/小时公里同步高速运动,在瞬间对接穿
针引线;玻璃镜面加工等精度,误差不会超过0.5毫米。
为了在更小的物理空间集成更多的电子元件,单个电路的物理尺寸越来越小,主流
光刻机在硅片上投射的光刻电路分辨率达到50-90nm。超高的技术难度使得光刻机在全
世界集成电路设备厂商中形成... 阅读全帖

发帖数: 1
6
中兴被美国封杀十多天了,又有传言华为也在被美国调查。中兴被美国封杀最大的影响
是芯片,在此时机,网络上那个“最先进光刻机对中国禁售”的传言又盛行起来。这里
回顾下半导体制造产业界的一段精彩历史,数几个风流人物,看能不能终结这个捕风捉
影的传言。
光刻机包括很多种类,为避免混淆,先明确一下,本文说的光刻机就是指生产最先进逻
辑芯片所用的那个谣传不卖给中国的设备。这个东西,现在是ASML一家独大。在ASML一
家独大的局面形成之前,是尼康、佳能和ASML三足鼎立。怎么从三足鼎立演变成一家独
大的呢?转折点是193nm波长浸润式光刻机的问世。
本来,光刻机都是干式的,没有液体参与。1987年,当时的IBM还拥有大量芯片Foundry
业务。这里要说明一下,我不喜欢中文夹杂英文单词,但是这个芯片Foundry实在是没
有通用翻译,直译成工厂又觉得很不确切,所以只好夹杂英文单词了。1987年,张忠谋
创立了台积电,这是改变产业界的大事。在同一年,一位不太起眼的IBM芯片Foundry工
程师从显微镜镜头上的水得到灵感,提出了一种浸润式光刻机的新设想,写成论文发表
出来。在当时,这篇论文纯粹作为理... 阅读全帖

发帖数: 1
7
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
与ASML相比,中国光刻机企业就显得相当寒碜——中国光刻机厂商有上海微电子装备有
限公司、中国电子科技集团公司第四十五研究所、合肥芯硕半导体有限公司、先腾光电
科技有限公司、无锡影速半导体科技有限公司。在这几家公司中,处于技术领先的是上
海微电子装备有限公司,该公司已量产的光刻机中性能最好的是90nm光刻机。
早在几年前,上海微电子就制造出90nm光刻机,当时国际主流代工厂的代工水平是65nm
,平心而论,在这个情况下,制造出90nm光刻机,上海微电子还是蛮给力的,但因为最
核心的光源是进口的,国外为了限制中国光刻机制造业,在核心零部件上限制中国,经
常在核心零件上卡我们脖子。在技术上受制于人,导致上海微电子90nm光刻机无法规模
化量产。
同时,西方国家对中国开放65nm光刻机,并通过各种渠道游说中国政府和国企,中国能
够采购到比国产更先进的光刻机后,或多或少的影响了对光刻机核心零部件的研发,不
同程度上减少了对上微的扶持力度。
因国内光刻机市场被外商占据,上微重金研发的90nm光刻机因光源技术上受制于人,导
致产能不稳定,巨额研发资金血本无归。国家减少了扶持力度,导致上海微电子效益... 阅读全帖
t*******a
发帖数: 4055
8
解禁!ASML的EUV光刻机终于要卖给中国了!
日前,全球光刻巨头ASML(阿斯麦)正式澄清,EUV要进口到中国大陆完全没有任何问
题!2019年,中国大陆或将迎来首台EUV光刻机。
众所周知,芯片是电子产品所必不可少的组件,芯片制造商要想成功地制造出芯片来,
必须用到光刻机。对芯片制造商来说,光刻机就是核心的生产设备。
目前,全球仅有极少数的光刻机设备厂商能够研制出高端光刻机,而荷兰的ASML则拥有
全球晶圆厂光刻机设备高达8成的市场份额,在干式曝光机、浸润式光刻机,EUV(极紫
外线光刻机)的市场几乎处于独霸地位,台积电、三星、英特尔等国际半导体巨头都是
其客户。
由于EUV光刻机的生产难度和成本都非常大,导致ASML的EUV全年出货仅12台,明年可望
增加至20台,现累积未出货订单约27台,其中有5台已被台积电预订,费款高达5.5亿美
元。
在国内,一直流传着一种声音....受西方《瓦森纳协议》的限制,中国只能买到ASML的
中低端产品,出价再高,也无法购得ASML的高端设备。甚至还有人认为,台积电、三星
等在制程上之所以大幅领先中芯国际,主要是因为ASML最先进的光刻机对大陆禁... 阅读全帖
t*******a
发帖数: 4055
9
解禁!ASML的EUV光刻机终于要卖给中国了!
日前,全球光刻巨头ASML(阿斯麦)正式澄清,EUV要进口到中国大陆完全没有任何问
题!2019年,中国大陆或将迎来首台EUV光刻机。
众所周知,芯片是电子产品所必不可少的组件,芯片制造商要想成功地制造出芯片来,
必须用到光刻机。对芯片制造商来说,光刻机就是核心的生产设备。
目前,全球仅有极少数的光刻机设备厂商能够研制出高端光刻机,而荷兰的ASML则拥有
全球晶圆厂光刻机设备高达8成的市场份额,在干式曝光机、浸润式光刻机,EUV(极紫
外线光刻机)的市场几乎处于独霸地位,台积电、三星、英特尔等国际半导体巨头都是
其客户。
由于EUV光刻机的生产难度和成本都非常大,导致ASML的EUV全年出货仅12台,明年可望
增加至20台,现累积未出货订单约27台,其中有5台已被台积电预订,费款高达5.5亿美
元。
在国内,一直流传着一种声音....受西方《瓦森纳协议》的限制,中国只能买到ASML的
中低端产品,出价再高,也无法购得ASML的高端设备。甚至还有人认为,台积电、三星
等在制程上之所以大幅领先中芯国际,主要是因为ASML最先进的光刻机对大陆禁... 阅读全帖

发帖数: 1
10
芯片是未来众多高技术产业的食粮,芯片设计制造技术成为世界主要大国竞争的最重要
领域之一。而芯片生产设备又为芯片大规模制造提供制造基础,因此更是整个半导体芯
片产业金字塔顶端的尖尖。下面是小编带领大家看一看,数一数,制造一枚合格的芯片
都需要哪些设备?
光刻机
光刻机是芯片制造的核心设备之一,按照用途可以分为好几种:有用于生产芯片的光刻
机;有用于封装的光刻机;还有用于LED制造领域的投影光刻机。用于生产芯片的光刻
机是中国在半导体设备制造上最大的短板,国内晶圆厂所需的高端光刻机完全依赖进口。
在高端光刻机上,除了龙头老大ASML,尼康和佳能也曾做过光刻机,而且尼康还曾经得
到过Intel的订单。但是近些年,尼康在ASML面前被打的毫无还手之力,高端光刻机市
场基本被ASML占据。
光刻机工作原理
在加工芯片的过程中,光刻机通过一系列的光源能量、形状控制手段,将光束透射过画
着线路图的掩模,经物镜补偿各种光学误差,将线路图成比例缩小后映射到硅片上,然
后使用化学方法显影,得到刻在硅片上的电路图。一般的光刻工艺要经历硅片表面清洗
烘干、涂底、旋涂光刻胶、软烘、对准曝光、后烘、显影、硬烘、激... 阅读全帖
d********e
发帖数: 661
11
不是有人说:由于光刻机技术落后,中国芯片将永远落后吗?
让你们这些洋博士看看国内的土技术。
不论真假,至少有人在吹嘘。
http://bbs.tianya.cn/post-worldlook-1459439-1.shtml
先说一下目前的世界光刻机的现状 。。。目前世界主流的光刻机还是深紫外侵入式光
刻机,在这个光刻机上面 世界占有率最高的是荷兰的一家公司 ,目前荷兰的这家公司
可以把这个技术的光刻机做到14纳米,另外是日本的可以做到18纳米。但是因为深紫外
技术固有的缺点 ,导致不能继续的向更加精度的方向发展。如果想做到10纳米一下 那
么就要用到级紫外技术的光刻机。在这个极紫外技术的光刻机上面的研发荷兰那个公司
领先,日本尼康明显的落后。
下面分别说一下深紫外侵入式光刻机的主要核心技术:
深紫外光刻机用到的光源是深紫外光线,在这个光源上面的研发最牛逼的是德国的
亚深,日本尼康通过收购德国的这个企业获得了这个光源的技术,另外一个技术就是物
镜,深紫外光线要想达到22纳米的水平 那么物镜的数值口径要达到1.35以上。目前德
国的光学公司可以达到,另外日本的尼康通过购买德国的技术也可以达... 阅读全帖
g**1
发帖数: 10330
12
科技日报:中科院国产22纳米光刻机治不了咱们的“芯”病
高博/科技日报
2018-12-03 07:39
字号
11月29日,中科院研制的“超分辨光刻装备”通过验收。消息传着传着,就成了谣言—
—《国产光刻机伟大突破,国产芯片白菜化在即》《突破荷兰技术封锁,弯道超车》《
厉害了我的国,新式光刻机将打破“芯片荒”》……
笔者正好去中科院光电所旁听此次验收会,写了报道,还算熟悉,无法苟同一些漫无边
际的瞎扯。
中科院研制的这种光刻机不能(像一些网媒说的)用来光刻CPU。它的意义是用便宜光
源实现较高的分辨率,用于一些特殊制造场景,很经济。
先解释下:光刻机不光是制造芯片用。一张平面(不论硅片还是什么材料)想刻出繁复
的图案,都可以用光刻——就像照相,图像投在感光底片上,蚀掉一部分。半个多世纪
前,美国人用这个原理“印刷”电路,从而有了大规模集成电路——芯片。
为了节能和省硅料,芯片越做越小,逼得光刻机越做越极端。线条细到一定程度,投影
就模糊了。要清晰投影,线条粗细不能低于光波长的一半。顶尖光刻机用波长13.5纳米
的极紫外光源,好刻10纳米以下的线条。
但稳定的、大功率的极紫外光源很难造... 阅读全帖
g**1
发帖数: 10330
13
国产22纳米光刻机治不了咱们的“芯”病
分享到:
2018-12-03 08:25:08字号:A- A A+来源:科技日报
关键字: 国产22纳米光刻机国产芯片
11月29日,中科院研制的“超分辨光刻装备”通过验收。消息传着传着,就成了谣言—
—《国产光刻机伟大突破,国产芯片白菜化在即》《突破荷兰技术封锁,弯道超车》《
厉害了我的国,新式光刻机将打破“芯片荒”》……
笔者正好去中科院光电所旁听此次验收会,写了报道,还算熟悉,无法苟同一些漫无边
际的瞎扯。
中科院研制的这种光刻机不能(像一些网媒说的)用来光刻CPU。它的意义是用便宜光
源实现较高的分辨率,用于一些特殊制造场景,很经济。
先解释下:光刻机不光是制造芯片用。一张平面(不论硅片还是什么材料)想刻出繁复
的图案,都可以用光刻——就像照相,图像投在感光底片上,蚀掉一部分。半个多世纪
前,美国人用这个原理“印刷”电路,从而有了大规模集成电路——芯片。
为了节能和省硅料,芯片越做越小,逼得光刻机越做越极端。线条细到一定程度,投影
就模糊了。要清晰投影,线条粗细不能低于光波长的一半。顶尖光刻机用波长13.5纳米
的极紫外光源,好刻10纳... 阅读全帖

发帖数: 1
14
来自主题: Military版 - 河南ASML光刻机的技术封锁
每台售价超过1亿欧元的EUV光刻机,ASML卖了18台
每每提到半导体行业发展,免不了要说下中国最近两年发展集成电路的决心之强、投资
之大,国内公司对人才也求贤若渴,不惜高薪挖人,但在关键技术上,我们落后欧美公
司还是挺多的,特别是在半导体制造装备上,先进的光刻机还被欧美封锁,有钱也买不
到。
进入10nm工艺节点之后,EUV光刻机越来越重要,全球能产EUV光刻机的就是荷兰ASML公
司了,他们总共卖出18台EUV光刻机,总价值超过20亿欧元,折合每套系统售价超过1亿
欧元,可谓价值连城。
纳米(符号 nm,英式英文:nanometre、美式英文:nanometer,字首 nano 在希腊文
中的原意是“侏儒”的意思),是一个长度单位,指1米的十亿分之一(10-9m)。
现时很多材料的微观尺度多以纳米为单位,如半导体制程标准在2000年代以后大多是以
纳米表示。
光刻工艺需要光刻机,全球有能力生产光刻机的公司不多,日本尼康、佳能也有这方面
的技术,但目前只能混迹低端市场,能玩转高端市场、特别是EUV光刻机的只有荷兰
ASML公司了,这也是Intel、TSMC、三星等晶圆厂都在争取的新一... 阅读全帖

发帖数: 1
15
来自主题: Military版 - 河南ASML光刻机的技术封锁
每台售价超过1亿欧元的EUV光刻机,ASML卖了18台
每每提到半导体行业发展,免不了要说下中国最近两年发展集成电路的决心之强、投资
之大,国内公司对人才也求贤若渴,不惜高薪挖人,但在关键技术上,我们落后欧美公
司还是挺多的,特别是在半导体制造装备上,先进的光刻机还被欧美封锁,有钱也买不
到。
进入10nm工艺节点之后,EUV光刻机越来越重要,全球能产EUV光刻机的就是荷兰ASML公
司了,他们总共卖出18台EUV光刻机,总价值超过20亿欧元,折合每套系统售价超过1亿
欧元,可谓价值连城。
纳米(符号 nm,英式英文:nanometre、美式英文:nanometer,字首 nano 在希腊文
中的原意是“侏儒”的意思),是一个长度单位,指1米的十亿分之一(10-9m)。
现时很多材料的微观尺度多以纳米为单位,如半导体制程标准在2000年代以后大多是以
纳米表示。
光刻工艺需要光刻机,全球有能力生产光刻机的公司不多,日本尼康、佳能也有这方面
的技术,但目前只能混迹低端市场,能玩转高端市场、特别是EUV光刻机的只有荷兰
ASML公司了,这也是Intel、TSMC、三星等晶圆厂都在争取的新一... 阅读全帖

发帖数: 1
16
每台售价超过1亿欧元的EUV光刻机,ASML卖了18台
每每提到半导体行业发展,免不了要说下中国最近两年发展集成电路的决心之强、投资
之大,国内公司对人才也求贤若渴,不惜高薪挖人,但在关键技术上,我们落后欧美公
司还是挺多的,特别是在半导体制造装备上,先进的光刻机还被欧美封锁,有钱也买不
到。
进入10nm工艺节点之后,EUV光刻机越来越重要,全球能产EUV光刻机的就是荷兰ASML公
司了,他们总共卖出18台EUV光刻机,总价值超过20亿欧元,折合每套系统售价超过1亿
欧元,可谓价值连城。
纳米(符号 nm,英式英文:nanometre、美式英文:nanometer,字首 nano 在希腊文
中的原意是“侏儒”的意思),是一个长度单位,指1米的十亿分之一(10-9m)。
现时很多材料的微观尺度多以纳米为单位,如半导体制程标准在2000年代以后大多是以
纳米表示。
光刻工艺需要光刻机,全球有能力生产光刻机的公司不多,日本尼康、佳能也有这方面
的技术,但目前只能混迹低端市场,能玩转高端市场、特别是EUV光刻机的只有荷兰
ASML公司了,这也是Intel、TSMC、三星等晶圆厂都在争取的新一... 阅读全帖
f***y
发帖数: 4447
17
来自主题: Military版 - 我国28纳米光刻机研制成功
要白菜了
http://www.tsinghua.edu.cn/publish/news/4209/2016/2016020215523
国家科技重大专项“光刻机双工件台系统样机研发”项目通过内部验收
清华新闻网2月2日电 1月29-30日,国家科技重大专项“极大规模集成电路制造装
备及成套工艺”(简称02专项)实施管理办公室在清华大学组织召开了“光刻机双工件
台系统样机研发”项目的专项内部验收会。
项目由清华大学承担,机械工程系朱煜教授担任项目负责人,项目总经费2.23亿元
。该项目以研制光刻机双工件台系统样机为目标,为我国自主研发65-28nm双工件台干
式及浸没式光刻机提供具有自主知识产权的核心子系统。该项目联合了华中科技大学、
上海微电子装备有限公司和成都工具所3家单位,下设10个课题,清华大学机械工程系
、精密仪器系和材料学院分别承担了其中6个课题,机械工程系IC装备团队承担了样机
集成研发等核心任务。
光刻机双工件台项目内部验收会现场。刘 峰 摄
验收专家组由相关领域22名技术专家和财务专家组成,02专项咨询专家委员会主任
马俊如研究员担任任务验收专家组组长。02专项技术总... 阅读全帖
y*b
发帖数: 3190
18
来自主题: PhotoGear版 - 10纳米的光刻机国产了! (转载)
【 以下文字转载自 Military 讨论区 】
发信人: fwdo (长老), 信区: Military
标 题: 10纳米的光刻机国产了!
发信站: BBS 未名空间站 (Wed Feb 1 11:49:50 2017, 美东)
成都电视台《成视新闻》栏目组来到中科院光电所微细加工光学技术国家重点实验室,
对实验室打造“大国重器”,同时带动产业、惠及民生等科技创新驱动发展情况进行详
细报道。
光电所微细加工光学技术国家重点实验室研制出来的SP光刻机是世界上第一台单次成像
达到22纳米的光刻机,结合多重曝光技术,可以用于制备10纳米以下的信息器件。这不
仅是世界上光学光刻的一次重大变革,也将加快推进工业4.0,实现中国制造2025的美
好愿景。
长期以来,我国的光刻技术落后于先进国家,成为我国工业现代化进程的一块短板。
2006年,科技部提出了光刻技术的中长期规划,希望中科院的国家重点实验室,能找到
一条绕开国外技术壁垒,具有自主知识产权的光刻路径。光电所SP光学光刻机就是绕开
了传统的193纳米曝光的技术路线,利用长波长光源也可以得到一个突破衍射极限的分
辨率的图形,所以在成本... 阅读全帖
l*b
发帖数: 4369
19
【 以下文字转载自 Military 讨论区 】
发信人: fwdo (长老), 信区: Military
标 题: 10纳米的光刻机国产了!
发信站: BBS 未名空间站 (Wed Feb 1 11:49:50 2017, 美东)
成都电视台《成视新闻》栏目组来到中科院光电所微细加工光学技术国家重点实验室,
对实验室打造“大国重器”,同时带动产业、惠及民生等科技创新驱动发展情况进行详
细报道。
光电所微细加工光学技术国家重点实验室研制出来的SP光刻机是世界上第一台单次成像
达到22纳米的光刻机,结合多重曝光技术,可以用于制备10纳米以下的信息器件。这不
仅是世界上光学光刻的一次重大变革,也将加快推进工业4.0,实现中国制造2025的美
好愿景。
长期以来,我国的光刻技术落后于先进国家,成为我国工业现代化进程的一块短板。
2006年,科技部提出了光刻技术的中长期规划,希望中科院的国家重点实验室,能找到
一条绕开国外技术壁垒,具有自主知识产权的光刻路径。光电所SP光学光刻机就是绕开
了传统的193纳米曝光的技术路线,利用长波长光源也可以得到一个突破衍射极限的分
辨率的图形,所以在成本... 阅读全帖
d********e
发帖数: 661
20
另外 ,光刻机下一步的发展是极紫外光线的步进光刻机,目前的深紫外的极限就是达
到14纳米了,再往下发展很难,会导致设备很复杂,,成本变得很高,目前的荷兰的那
个14纳米的深紫外的由于设计很复杂 导致目前的开价是2亿美元一台!!!
所以10纳米制程一下的要用到极紫外技术了,目前荷兰那个 德国 日本 美国 中国
都是在研发这个技术,除了荷兰出过一个样机以外,世界各国基本都是研发阶段。
极紫外光刻机有很多的难点,主要是物镜的精度更加的高,还有光源的功率的提高
很难,
先说物镜的难点,因为极紫外光线的能量很高,一般的物镜材料不适用,现在使用
的是多层的原子镀膜技术。这个技术很难就是了,还有要使用的反射镜片的精度要达到
变态的0.1纳米。还有要在上面镀上几层的原子级别的薄膜。另外物镜的安装,因为每
次反射光线后都要,从新调整镜片的位置,这个精度调整精度要达到0.3纳米!!!所
有你调整镜片前先要测量镜片的位置,这个测量精度要达到0.1纳米!!!!还要调整
。。。因为受重力和振动的影响,达到0.3的调整精度的物镜的安装平台的设计很难。
。目前世界上 美国还有日本 德国都在研究这个 ,因为保密,基本... 阅读全帖
f**o
发帖数: 12685
21
来自主题: Military版 - 10纳米的光刻机国产了!
成都电视台《成视新闻》栏目组来到中科院光电所微细加工光学技术国家重点实验室,
对实验室打造“大国重器”,同时带动产业、惠及民生等科技创新驱动发展情况进行详
细报道。
光电所微细加工光学技术国家重点实验室研制出来的SP光刻机是世界上第一台单次成像
达到22纳米的光刻机,结合多重曝光技术,可以用于制备10纳米以下的信息器件。这不
仅是世界上光学光刻的一次重大变革,也将加快推进工业4.0,实现中国制造2025的美
好愿景。
长期以来,我国的光刻技术落后于先进国家,成为我国工业现代化进程的一块短板。
2006年,科技部提出了光刻技术的中长期规划,希望中科院的国家重点实验室,能找到
一条绕开国外技术壁垒,具有自主知识产权的光刻路径。光电所SP光学光刻机就是绕开
了传统的193纳米曝光的技术路线,利用长波长光源也可以得到一个突破衍射极限的分
辨率的图形,所以在成本上安全性方面上都会有一个很大的提升,是完全具有知识产权
的原创性技术。
我以前了解过,光刻机是制造电脑CPU的母机,处于科技领域的最顶层,目前世界上先
进光刻机基本被荷兰的ASML公司垄断,CPU芯片制程最先进的是14纳米,不卖中国人,
... 阅读全帖
s*x
发帖数: 8041
22
来自主题: Military版 - 167纳米的光刻机,17年前就有了
早在几年前,上海微电子就制造出90nm光刻机,当时国际主流代工厂的代工水平是65nm
,平心而论,在这个情况下,制造出90nm光刻机,上海微电子还是蛮给力的,但因为最
核心的光源是进口的,国外为了限制中国光刻机制造业,在核心零部件上限制中国,经
常在核心零件上卡我们脖子。在技术上受制于人,导致上海微电子90nm光刻机无法规模
化量产。
同时,西方国家对中国开放65nm光刻机,并通过各种渠道游说中国政府和国企,中国能
够采购到比国产更先进的光刻机后,或多或少的影响了对光刻机核心零部件的研发,不
同程度上减少了对上微的扶持力度。
因国内光刻机市场被外商占据,上微重金研发的90nm光刻机因光源技术上受制于人,导
致产能不稳定,巨额研发资金血本无归。国家减少了扶持力度,导致上海微电子效益很
差,研发人员无法安心研发技术,厂子人心浮动,造成大批技术骨干流失。
t******t
发帖数: 15246
23
“高NA浸没式曝光光学系统关键技术研究”、“极高精度光学元件与系统检测技术研究
”及“极紫外投影光刻关键技术研究”等项目,是国家下达的重大的科技专项任务(第
一期经费10亿元),其最终目标是掌握超大规模集成电路制造产业核心装备--DUV及EUV
投影光刻机曝光光学系统的研制与生产能力。
DUV及EUV投影光刻曝光光学系统是目前人类所能研制的最为复杂、最为精密的光学
仪器,其研制过程涉及到光学材料、光学设计、光学加工、光学检测、光学镀膜、光机
结构与光学装校等应用光学所有单元技术,且需将上述单元技术发挥到当前发展的极限
水平。
竭诚欢迎2009年优秀应届毕业生加入上述科研项目的研究团队,肩负历史使命,共
同迎接与完成国家与民族交付的这一极具挑战性的研究任务! 有志从事最尖端应用光
学研究的年青朋友们,来应用光学国家重点实验室重大专项锻炼与发展,将是实现你们
人生价值的最佳选择!
志存高远,心细如丝
——勇攀精密工程光学领域新高峰
长春光机所 李晶
拉面想必大家都吃过,但知道最细的拉面有多细么?创造吉尼斯记录之最细的拉面,可
以三十根同时穿过针眼。米上刻字,大家也都熟悉,在... 阅读全帖
f***y
发帖数: 4447
24
.新型显示是上海建设科创中心“22条”列出的重大产业创新战略项目之一,而OLED(
有机发光二极管)是业界广泛看好的新一代显示屏幕,一些高端品牌手机已开始采用,
具有响应速度快、高画质、可柔性等优势。我国在这一领域能否拥有自主知识产权,居
于全球产业链的上层?同时获得青年科技杰出贡献奖、上海市科技进步奖一等奖的上海
大学张建华教授,交出了一份出色答卷。这位曾爱哭鼻子的土家族女科学家,带领产学
研协同创新团队研制出大面积高精细度平板显示光刻机,突破了日本技术垄断。
发明核心技术,分辨率世界第一
挺难想象,在这个男性工程师占绝对主流的行业,一名女性能成为领军人物。本科
学化学、研究生阶段主攻润滑材料的张建华,在上海大学获得机械工程博士学位后,由
于拥有化学、材料、机械交叉学科背景,被香港城市大学一位研究高密度电子封装的讲
座教授看中。从那以后,她一头扎进了电子制造和半导体显示这一多学科交叉领域,先
后赴中国香港、英国从事科研工作。
2003年末,张建华回到母校上海大学,参与筹建新型显示技术及应用集成教育部重
点实验室,并得到上海市科技启明星、优秀学术带头人等人才计划的支持。从团队建设
到设... 阅读全帖
d******r
发帖数: 16947
25
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
14年就有了,后来还不止一家 lol
清华向着“人类最精密复杂的机器”又迈出一步_军事_中华网
military.china.com › 评论精选 › 网友原创
2016年5月17日 - 本次“光刻机双工件台系统样机研发”项目验收,标志中国在双工件
台系统上取得技术突破,但这仅仅是实现光刻机国产化万里长征的一部分,距离
中科院、上微自主研制成功新型光刻机有望局部实现国产化替代 - 观察者网
www.guancha.cn/Science/2016_03_28_355288.shtml
2016年3月28日 - 其实,在半导体设备制造方面,中国和西方差距最大的就是光刻机—
—由于光刻机研发资金需求大,门槛高,从事光刻机研发的企业也越来越少,像
(求证真实性,真的就太HKC了)中国光刻机22纳米技术获重大突破-IT与通讯 ...
lt.cjdby.net › 论坛 › ≡ 超级百科与探索区≡ › IT与通讯技术
2014年12月21日 - 26 个帖子 - ‎18 个作者
中国光刻机22纳米技术获重大突破2014/12/... 阅读全帖

发帖数: 1
26
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
半导体制造工艺进入10nm之后,难度越来越大,Intel为此多次调整了产品策略,10nm
工艺的产品推迟到今年底,以致于很多人认为摩尔定律将死。推动科技进步的半导体技
术真的会停滞不前吗?这也不太可能,7nm工艺节点将开始应用EUV光刻工艺,研发EUV
光刻机的ASML表示EUV工艺将会支持未来15年,部分客户已经在讨论2030年的1.5nm工艺
路线图了。
此前的报道中我们也解释了半导体芯片制造过程中,光刻是非常关键的一步,决定了芯
片的技术水平。目前使用的是沉浸式光刻工艺,未来则是EUV(即紫外光刻)工艺。不
过EUV工艺研发实在太难了,早在2006年业界就开始联合研发EUV工艺,直到10年后的今
天,EUV光刻机才开始小批量生产,荷兰ASML公司日前在财报中提到去年底他们售出6台
EUV光刻机,总数达到了18台,总价值超过20亿欧元。
今年半导体公司将升级到10nm节点,不过还是用不上EUV工艺,ASML表示在2018年底到
2019年初的7nm工艺节点上,EUV工艺才会正式启用,那时候传统的沉浸式光刻工艺也会
遭遇极限。
现在半导体公司提到的新一代制程工艺除了7nm之外,还有5... 阅读全帖
s********e
发帖数: 13723
27
http://www.22ic.cn/html/16/n-3116.html
2010年7月16日下午,上海微电子装备有限公司(简称“SMEE”)和江阴长电先进封
装有限公司 (简称“JCAP”)在江苏省江阴市联合召开了“首台先进封装光刻机使用
现场汇报会暨SMEE与JCAP战略合作协议的签约仪式”会议。科技部、国家科技重大专项
“极大规模集成电路制造装备及成套工艺”实施管理办公室和总体专家组、专项咨询委
、上海市科委、江阴市政府等单位的领导出席了会议,科技部曹健林副部长等领导做了
重要讲话。
随着集成电路产业的发展,高端芯片的集成度已经达到数千至数亿晶体管,推动着
芯片封装技术向更高密度、更高性能发展,使基于凸点工艺的封装成为主流技术,对封
装光刻机的性能也大幅提高,传统的接近/接触式光刻机已不能满足高性能、高密度、
低成本等先进封装工艺发展需求,先进的大视场、大焦深、高精度投影光刻机成为先进
封装生产线的关键设备。为了改变该类先进封装光刻机完全依赖进口局面,上海微电子
装备有限公司在国家科技重大专项和上海市科委等部门的支持下,成功开发出了用于倒
装焊凸点制备的先进封装
f***y
发帖数: 4447
28
http://laoyaoba.com/ss6/html/69/n-594569.html
新华社成都3月23日电(记者李华梁)记者23日从中科院光电技术研究所获悉,该
所微电子专用设备研发团队自主研制成功紫外纳米压印光刻机。
据中科院光电所微电子装备总体研究室主任胡松介绍,该所自主研发的新型光刻机
,将纳米压印这一新型高分辨力光刻技术,与具有低成本、高效率特点的紫外光刻技术
有机结合。
记者了解到,这套设备采用新型纳米对准技术,将原光刻设备的对准精度由亚微米
量级提升至纳米量级。对准是光刻设备三大核心指标之一,是实现功能化器件加工的关
键。该所在国家自然基金的连续资助下,完成基于莫尔条纹的高精度对准技术自主研发
,取得专利20余项。
“该技术在光刻机中的成功应用突破了现有纳米尺度结构加工的瓶颈问题,为高精
度纳米器件的加工提供了技术保障。”胡松说。
据悉,该设备可广泛应用于微纳流控晶片加工、微纳光学元件、微纳光栅、NME
MS器件等微纳结构器件的制备,具有广阔的应用前景,目前已完成初试和小批生产,
并已在高校与企业用户中推广。(完)

发帖数: 1
29
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
中国的几个大牌光刻机单位,在2016年刚刚能够造90nm光刻机,而那时西方已经出售
65nm光刻机给中国了。
所以估计这个成都科学院在研制32nm的光刻机根本做不下去了,就没头没脑跳出来说做
到10nm了,骗西方人卖22nm光刻机给他们,然后请民工打磨铭牌,变成是西南成都科学
分院的产品。
现在中国科技人员胆大包天,竟敢欺骗中央,连包子都敢骗。

发帖数: 1
30
来自主题: Military版 - 河南ASML光刻机的技术封锁
目前,光刻机业界龙头老大是荷兰ASML。而EVU光刻机量产型号已经做到14nm水平,现
在Intel、三星的14nm光刻机都是买自ASML。光刻机研发成本巨大,Intel、台积电、三
星都是它的股东,重金供养ASML,并且有技术人员驻厂,格罗方德、联电以及中芯国际
(大陆代工厂龙头老大)等代工厂的光刻机主要也是来自ASML。

发帖数: 1
31
来自主题: Military版 - 河南ASML光刻机的技术封锁
目前,光刻机业界龙头老大是荷兰ASML。而EVU光刻机量产型号已经做到14nm水平,现
在Intel、三星的14nm光刻机都是买自ASML。光刻机研发成本巨大,Intel、台积电、三
星都是它的股东,重金供养ASML,并且有技术人员驻厂,格罗方德、联电以及中芯国际
(大陆代工厂龙头老大)等代工厂的光刻机主要也是来自ASML。
w********2
发帖数: 632
32
来自主题: Military版 - 中科院造出超分辨光刻机
上海微和荷兰ASML在光刻机上的差距客观上反映了中国和西方在精密制造领域的差距,
一台顶级光刻机的关键零部件来自不同的西方发达国家,美国的光栅,德国的镜头,瑞
典的轴承,法国的阀件等等等等,就算要命的是所有这些顶级零件都是对中国禁运的。
上海微是一家系统集成商,自己并不生产关键零部件,所以做不出22纳米以下的光刻机
真不是它的责任。现在国家的政策就是让上海微做好中低端光刻机,毕竟许多芯片并不
需要高端和顶级光刻机。做好了中低端,就能生存下去,慢慢的培养国内零部件商,一
点一点的往上做。
d********e
发帖数: 661
33
市场化是07年研发出中国90纳米光刻机的上海微电子公司。。背后的支撑是 中国中科
院的几个光学研究所和几个高校。。。
另外说一下 上海微电子的90纳米光刻机是07年出的,当时还是比较先进的,但是
因为核心的物镜和光源是进口的,外国在后续的产业化上面不给批量供应这些部件。导
致不能产业化。。核心部件不掌握也没有办法升级了。。所以慢慢落后了。日本的尼康
可以从欧美进口最先的技术。中国进口这些顶级的技术很难。。。。
所以中国开始自己研发自己的核心部件和光刻机、另外上海微电子在光刻机整体设
计优化 。。技术上面保持1千多个专利。目前还在一直前段研发布局专利体系。。这主
要是防止外国的专垄断。等中国的核心部件研发完成。。中国的光刻机就可以进入市场
并且独立的进行连续的升级。。因为提前进行了专利布局,,这样西方不能靠专利牵
制中国光刻机的产业化了。。。还有核心技术自己掌握。。这样西方通过禁运,干掉中
国的高端产业也不可能了。。所以高端你自能智力更生。。。不可能买来

发帖数: 1
34
据报导,艾司摩尔(ASML)上周公布上季财报亮眼,并宣布已接到新一代极紫外光(
EUV)微影机台六部订单,有分析师推测,台积电可能订走了其中五台,即一口气买下5
.5亿美元的设备。
EUV机台每台价值1.1亿美元。研究半导体设备业者的Summit Redstone Partners分析师
耶尔(Jagadish Iyer)说:“我们相信他们(ASML)处于转折点,除非相信技术已经
成熟,没有业者会同时买下每台1.1亿美元的机台。”他认为是一口气订购五台的客户
是台积电。
半导体顾问公司(SA)半导体分析师梅尔(RobertMaire)估计,ASML今年12部EUV机台
的产能已满载。耶尔认为,今年半导体设备支出可能超过业界预测的350亿美元,他说
,三星电子为夺回台积电的订单,将会设法赶上并争取半导体的生意。
台积电为什么要耗费巨资购买EUV光刻机?
我们都知道半导体工艺越先进越好,用以衡量工艺进步的就是线宽,常说的xx nm工艺
就代表这个,这个数字越小就代表晶体管越小,晶体管密度就越大。现在半导体公司已
经进军10nm工艺,但面临的物理限制越来越高,半导体工艺提升需要全新的设备。E... 阅读全帖
z***o
发帖数: 1254
35
尹志尧的公司不作光刻机。国内光刻机好像就上海这家在做。 光刻机难度是最大的,
一时半会儿憋不出来很正常。
l*******n
发帖数: 635
36
中国不是有40nm的光刻机了么?
晕!
光刻机前四:
ASML 荷兰
尼康 日本
佳能 日本
上微 中国
ASML + 尼康 占了光刻机大部分市场份额。

发帖数: 1
37
来自主题: Military版 - 10纳米的光刻机国产了是假新闻
2016年3月刚刚勉强能够造90nm光刻机,西方随即出售65nm光刻机。
从成都峨眉山石头里面突然蹦出一只野种猴子,人模狗样地吹会造10nm光刻机了。
t******e
发帖数: 2504
38
来个图,半导体行业的上下游关系。光刻机和蚀刻机是属于设备制造,是硬件上游之一
, 另外一个硬件上游是材料。
这个行业特点是高投资高技术高风险,日前技术进步快,稍不留神,技术路线选错了,
可能就要完,比如,日本的佳能/理光的光刻机,半死,眼看就要不活了。那么高的风
险,难怪所谓股神巴菲特,从来不看所谓高科技股。
老实说,我要是在国内有点钱,神经有毛病才会投这个行业,有点钱买房子,或更有钱
拉关系买地皮, 再牛就是从国内银行拿钱到国外买企业。
就是ASML光刻机,说得像神一样,一年就14亿欧元利润。 海航有什么高技术? 拉上关
系轻松千亿级别。 这样的对比下,傻子才搞所谓高技术。
t******e
发帖数: 2504
39
来个图,半导体行业的上下游关系。光刻机和蚀刻机是属于设备制造,是硬件上游之一
, 另外一个硬件上游是材料。
这个行业特点是高投资高技术高风险,日前技术进步快,稍不留神,技术路线选错了,
可能就要完,比如,日本的佳能/理光的光刻机,半死,眼看就要不活了。那么高的风
险,难怪所谓股神巴菲特,从来不看所谓高科技股。
老实说,我要是在国内有点钱,神经有毛病才会投这个行业,有点钱买房子,或更有钱
拉关系买地皮, 再牛就是从国内银行拿钱到国外买企业。
就是ASML光刻机,说得像神一样,一年就14亿欧元利润。 海航有什么高技术? 拉上关
系轻松千亿级别。 这样的对比下,傻子才搞所谓高技术。
m**********e
发帖数: 12525
40
来自主题: Military版 - 光刻机需要成像到200毫米晶圆上
光刻机需要成像到200毫米晶圆上,分辨率为10nm,
成像需要直径2米的透镜,而且不能有像差,透镜
水平相当于哈勃望远镜
也就是说,中国什么时候有能力发射哈勃望远镜这一
档次的观察卫星,什么时候才会有能力生产顶级光刻机
你看看现在,祖国主流侦察卫星的透镜直径是0.5米,
连个直径2米像样的地面天文望远镜都做不
所以,在可以预期的将来,祖国能生产10nm这个档次
的光刻机的可能性可以确定地说,是零
z*m
发帖数: 3227
41
来自主题: Military版 - 美帝为啥搞不出最高端的光刻机
这个哥比较清楚,AMAT其他领域都领先,也组织过内部攻关,但是最先进的光刻机确实
搞不出来,最后放弃了。但是光刻机和AMAT的其他前道技术是并存的,不存在控制与被
控制,是合作关系。比如最近吹的很火的长江存储,买了ASML的光刻机,也买了大量
AMAT的设备。
t******e
发帖数: 2504
42
赫赫,还就是照葫芦画瓢搞不出来。
immersion式的高级光刻系列, ASML的1980Di中国大陆早就有了,能做到10nm; 现在
可能2000i款也有了, 能做到7nm。
可是,上海那家国产光刻机的,现在就停留在90nm水平,据说就是90nm光刻机,那
个中国特色的所谓技术鉴定,还是勉强过关。
a***e
发帖数: 27968
43
还真能胡说八道。光刻机对大陆禁运就一直在那里,不仅仅是光刻机,还有其他一堆东
西。中芯国际拿到193至少比台基晚5年以上。都是193,并不是啥升级都不做想做10就
能做10
往前多次光刻,每进一步对精度,控制和补偿矫正都要升级
5年前台基在做什么大概就是中芯现在做的事。28nm大概是193一次曝光能到的极限。

★ 发自iPhone App: ChinaWeb 1.1.3
l*****i
发帖数: 20533
44
来自主题: Military版 - 我国28纳米光刻机研制成功
比荷兰德国日本之类主流光刻机研发商还是落后一代不止。
不过感谢技术封锁,中国现在拥有从光刻机制造晶圆制造一直到GpU设计乃至超级计算
机设计并最后到其重大应用的完整技术链。这在世界上倒也难得。
d******r
发帖数: 16947
45
来自主题: Military版 - 10纳米的光刻机国产了!
尼玛中国傻啊,叔早说了应该对中国禁运的产品
没有保护知识产权的问题,一旦中国造出来以后,
5年内不许进口同类产品 lol
2006年,科技部提出了光刻技术的中长期规划,希望中科院的国家重点实验室,能找到
一条绕开国外技术壁垒,具有自主知识产权的光刻路径。光电所SP光学光刻机就是绕开
了传统的193纳米曝光的技术路线,利用长波长光源也可以得到一个突破衍射极限的分
辨率的图形,所以在成本上安全性方面上都会有一个很大的提升,是完全具有知识产权
的原创性技术。
w********2
发帖数: 632
46
来自主题: Military版 - 中科院造出超分辨光刻机
ASML从成立开始就没有做垂直整合,而是实行轻资产策略。在把控核心技术(光刻曝光
技术)的同时,依靠全球产业链分工合作的方式,采取模块化外包协同联合开发策略。
该策略使ASML得以集世界光刻顶级技术之大成。如光学镜头部件由德国Carl Zeiss生产
,光源由美国的Cymer(现ASML子公司)提供,计量设备则由美国的Keysight(Agilent
/Hewlett-Packard)制造,传送带则来自荷兰VDL集团。正是有了如此多的各细分领域
中的顶尖供应商的协同创新,公司可以把主要的研发力量集中在确定客户需求和系统整
合上,从而迅速占领了世界光刻机的制高点。
t*******y
发帖数: 21396
47
这里死了说的是佳能的光刻机。就算尼康也是苟活,ASML占市场80%以上。尼康投了那
么多钱研发这个,估计也是赔本赚吆喝,保持点技术力。
佳能规模几乎是尼康的10倍,东方不亮西方亮,全死了当然不可能。佳能光刻机死了以
后又推出了全线的nanoprinting 设备,技术力量还是很强的。
H********n
发帖数: 207
48
来自主题: Military版 - 我国28纳米光刻机研制成功
这个不是光刻机,是里面的机械平台。核心部分的光学系统,不知道有没有在做?
楼上有个说的对,28纳米光刻机如果能做出来基本也够用了,做高端手机芯片,因为功
耗的原因不行,但是绝大多数芯片足够了,一代core i7 32nm就做了。即使是存储器,
现在都往3D走,28nm也是绰绰有余。

发帖数: 1
49
来自主题: Military版 - 10纳米的光刻机国产了!
台积电又不产光刻机。产光刻机那是荷兰人。
f**o
发帖数: 12685
50
来自主题: Military版 - 10纳米的光刻机国产了!
美国也买日本人河南人的光刻机


: 得,国外琐男价值又贬了。懂行的说说这光刻机都谁强?美帝这技术怎么样?

1 2 3 4 5 6 7 8 9 10 下页 末页 (共10页)